diff --git a/case/STEP/eggnoggpi v11.step b/case/STEP/eggnoggpi v11.step deleted file mode 100644 index 23b7b51..0000000 --- a/case/STEP/eggnoggpi v11.step +++ /dev/null @@ -1,3484 +0,0 @@ -ISO-10303-21; -HEADER; -/* Generated by software containing ST-Developer - * from STEP Tools, Inc. (www.steptools.com) - */ - -FILE_DESCRIPTION( -/* description */ (''), -/* implementation_level */ '2;1'); - -FILE_NAME( -/* name */ 'eggnoggpi v11.step', -/* time_stamp */ '2024-06-02T16:06:50+02:00', -/* author */ (''), -/* organization */ (''), -/* preprocessor_version */ 'ST-DEVELOPER v20', -/* originating_system */ 'Autodesk Translation Framework v12.20.1.177', - -/* authorisation */ ''); - -FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); -ENDSEC; - -DATA; -#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#176,#177, -#178,#179,#180,#181),#3267); -#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#3273,#12); -#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#182,#183,#184,#185,#186,#187), -#3266); -#13=SPHERICAL_SURFACE('',#1815,20.); -#14=SPHERICAL_SURFACE('',#1894,20.); -#15=SPHERICAL_SURFACE('',#1915,20.); -#16=SPHERICAL_SURFACE('',#1916,20.); -#17=ELLIPSE('',#1805,28.2842712474619,20.); -#18=ELLIPSE('',#1822,28.2842712474619,20.); -#19=TOROIDAL_SURFACE('',#1800,15.,5.); -#20=TOROIDAL_SURFACE('',#1808,15.,5.); -#21=TOROIDAL_SURFACE('',#1813,14.,5.); -#22=TOROIDAL_SURFACE('',#1827,17.,9.); -#23=TOROIDAL_SURFACE('',#1830,17.,9.); -#24=TOROIDAL_SURFACE('',#1834,17.,9.); -#25=TOROIDAL_SURFACE('',#1839,17.,9.); -#26=TOROIDAL_SURFACE('',#1842,17.,9.); -#27=TOROIDAL_SURFACE('',#1859,16.,8.); -#28=TOROIDAL_SURFACE('',#1863,16.,8.); -#29=TOROIDAL_SURFACE('',#1869,16.,8.); -#30=TOROIDAL_SURFACE('',#1876,16.,8.); -#31=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2595,#2596,#2597,#2598,#2599, -#2600,#2601,#2602,#2603,#2604,#2605,#2606,#2607,#2608,#2609,#2610,#2611, -#2612,#2613),(#2614,#2615,#2616,#2617,#2618,#2619,#2620,#2621,#2622,#2623, -#2624,#2625,#2626,#2627,#2628,#2629,#2630,#2631,#2632),(#2633,#2634,#2635, -#2636,#2637,#2638,#2639,#2640,#2641,#2642,#2643,#2644,#2645,#2646,#2647, -#2648,#2649,#2650,#2651),(#2652,#2653,#2654,#2655,#2656,#2657,#2658,#2659, -#2660,#2661,#2662,#2663,#2664,#2665,#2666,#2667,#2668,#2669,#2670),(#2671, -#2672,#2673,#2674,#2675,#2676,#2677,#2678,#2679,#2680,#2681,#2682,#2683, -#2684,#2685,#2686,#2687,#2688,#2689),(#2690,#2691,#2692,#2693,#2694,#2695, -#2696,#2697,#2698,#2699,#2700,#2701,#2702,#2703,#2704,#2705,#2706,#2707, -#2708)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,2,1,1,1,1,1,1,1,1,1,1,1, -1,1,4),(0.,0.285714285714286,0.571428571428571,1.),(-2.30891347587262,-2.30729105202081, --2.07656194681873,-1.84583284161664,-1.7304682890156,-1.61510373641456, --1.49973918381352,-1.38437463121248,-1.26901007861144,-1.1536455260104, --1.03828097340936,-0.922916420808322,-0.692187315606242,-0.461458210404161, --0.230729105202081,0.),.UNSPECIFIED.); -#32=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2819,#2820,#2821,#2822,#2823, -#2824),(#2825,#2826,#2827,#2828,#2829,#2830),(#2831,#2832,#2833,#2834,#2835, -#2836),(#2837,#2838,#2839,#2840,#2841,#2842),(#2843,#2844,#2845,#2846,#2847, -#2848),(#2849,#2850,#2851,#2852,#2853,#2854)),.UNSPECIFIED.,.F.,.F.,.F., -(4,1,1,4),(4,1,1,4),(0.,0.285714285714286,0.571428571428571,1.),(0.,0.3196109847779, -0.639221969555799,1.11863844672265),.UNSPECIFIED.); -#33=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2526,#2527,#2528,#2529,#2530,#2531), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.84268291232089,1.89927650044109,2.08543565413769), - .UNSPECIFIED.); -#34=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2543,#2544,#2545,#2546,#2547,#2548, -#2549,#2550),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(7.12233990485266,7.49534544942209, -7.98169751481439,8.36424182632413),.UNSPECIFIED.); -#35=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2584,#2585,#2586,#2587,#2588,#2589), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(2.47991379691617,2.66136247025128,2.80727007412933), - .UNSPECIFIED.); -#36=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2713,#2714,#2715,#2716,#2717,#2718, -#2719,#2720,#2721,#2722,#2723,#2724,#2725,#2726,#2727,#2728,#2729,#2730, -#2731),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,2,4),(0.,0.230729105202081, -0.461458210404161,0.692187315606242,0.922916420808322,1.03828097340936, -1.1536455260104,1.26901007861144,1.38437463121248,1.49973918381352,1.61510373641456, -1.7304682890156,1.84583284161664,2.07656194681873,2.30729105202081,2.30891347587262), - .UNSPECIFIED.); -#37=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2732,#2733,#2734,#2735,#2736,#2737, -#2738,#2739,#2740,#2741,#2742,#2743,#2744,#2745,#2746,#2747,#2748,#2749, -#2750),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-2.30891347587262, --2.30729105202081,-2.07656194681873,-1.84583284161664,-1.7304682890156, --1.61510373641456,-1.49973918381352,-1.38437463121248,-1.26901007861144, --1.1536455260104,-1.03828097340936,-0.922916420808322,-0.692187315606242, --0.461458210404161,-0.230729105202081,0.),.UNSPECIFIED.); -#38=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2761,#2762,#2763,#2764,#2765,#2766, -#2767,#2768),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.18405942862663,1.29131431880526, -1.42418031479085,1.48376343573356),.UNSPECIFIED.); -#39=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2781,#2782,#2783,#2784), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0697598835654173),.UNSPECIFIED.); -#40=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2795,#2796,#2797,#2798,#2799,#2800, -#2801,#2802),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(3.25247427878084,3.31205739971567, -3.44492339570126,3.55217828587989),.UNSPECIFIED.); -#41=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2809,#2810,#2811,#2812,#2813,#2814, -#2815,#2816,#2817,#2818),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(3.06494007435133, -3.13359849997541,3.80997186453908,4.42205686308072,4.55302050372923), - .UNSPECIFIED.); -#42=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2855,#2856,#2857,#2858,#2859,#2860), - .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.11863844672265,-0.639221969555799, --0.3196109847779,0.),.UNSPECIFIED.); -#43=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2863,#2864,#2865,#2866,#2867,#2868), - .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.3196109847779,0.639221969555799, -1.11863844672265),.UNSPECIFIED.); -#44=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2872,#2873,#2874,#2875,#2876,#2877), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.351337180522253,0.36480603242944), - .UNSPECIFIED.); -#45=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2880,#2881,#2882,#2883,#2884,#2885), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.365557585474881,0.367856185271598), - .UNSPECIFIED.); -#46=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2887,#2888,#2889,#2890,#2891,#2892), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(4.99776108910953,5.07377911615255,5.07613101182181), - .UNSPECIFIED.); -#47=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2894,#2895,#2896,#2897,#2898,#2899), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.000191396945072171,0.215981765002013, -0.334285615596644),.UNSPECIFIED.); -#48=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2900,#2901,#2902,#2903), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.32830576843696),.UNSPECIFIED.); -#49=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2912,#2913,#2914,#2915), - .UNSPECIFIED.,.F.,.F.,(4,4),(4.50859899639341,4.67745502132744), - .UNSPECIFIED.); -#50=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2916,#2917,#2918,#2919,#2920,#2921, -#2922,#2923,#2924,#2925,#2926,#2927,#2928,#2929),.UNSPECIFIED.,.F.,.F., -(4,2,2,2,2,2,4),(1.3963016782146,1.4042924006123,1.57462350360306,1.7432979878194, -1.91197247203574,2.06827669914785,2.19502091796441),.UNSPECIFIED.); -#51=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2932,#2933,#2934,#2935,#2936,#2937, -#2938,#2939,#2940,#2941),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(2.91538390175139, -3.06826345969924,3.68580123541765,4.30155939134682,4.51944773560723), - .UNSPECIFIED.); -#52=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2967,#2968,#2969,#2970), - .UNSPECIFIED.,.F.,.F.,(4,4),(4.90653756758723,5.00663018269748), - .UNSPECIFIED.); -#53=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2976,#2977,#2978,#2979,#2980,#2981, -#2982,#2983),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.3456093208486,1.51982124358629, -1.69403316632397,1.79269886922819),.UNSPECIFIED.); -#54=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2986,#2987,#2988,#2989,#2990,#2991), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(5.00663018269748,5.09051636102698,5.2793592320661), - .UNSPECIFIED.); -#55=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3003,#3004,#3005,#3006), - .UNSPECIFIED.,.F.,.F.,(4,4),(5.2793592320661,5.45785444252961), - .UNSPECIFIED.); -#56=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3013,#3014,#3015,#3016,#3017,#3018, -#3019,#3020),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(3.55721325502209,3.69434876787356, -3.86414498055062,4.03394119322768),.UNSPECIFIED.); -#57=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3026,#3027,#3028,#3029,#3030,#3031), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(5.45785444252961,5.46820210310522,5.52707546278031), - .UNSPECIFIED.); -#58=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3073,#3074,#3075,#3076,#3077,#3078, -#3079,#3080,#3081,#3082),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(4.04425792030808, -4.35011082655875,4.65596373280943,5.12621500934107,5.5964662858727), - .UNSPECIFIED.); -#59=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3094,#3095,#3096,#3097,#3098,#3099, -#3100,#3101,#3102,#3103),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.,0.470251276531633, -0.940502553063266,1.24635545931395,1.55220836556462),.UNSPECIFIED.); -#60=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#2504,#2505,#2506),.UNSPECIFIED.,.F.,.F.) -B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.141638411025011),.UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((1.,1.00029940409366,1.)) -REPRESENTATION_ITEM('') -); -#61=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#2510,#2511,#2512),.UNSPECIFIED.,.F.,.F.) -B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.146953842556434),.UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((1.,1.00034507476652,1.)) -REPRESENTATION_ITEM('') -); -#62=CONICAL_SURFACE('',#1782,20.5,0.785398163397448); -#63=CYLINDRICAL_SURFACE('',#1756,1.35); -#64=CYLINDRICAL_SURFACE('',#1757,2.99999999999999); -#65=CYLINDRICAL_SURFACE('',#1764,1.35); -#66=CYLINDRICAL_SURFACE('',#1765,2.99999999999999); -#67=CYLINDRICAL_SURFACE('',#1772,1.35); -#68=CYLINDRICAL_SURFACE('',#1773,2.99999999999999); -#69=CYLINDRICAL_SURFACE('',#1780,1.35); -#70=CYLINDRICAL_SURFACE('',#1781,2.99999999999999); -#71=CYLINDRICAL_SURFACE('',#1785,20.); -#72=CYLINDRICAL_SURFACE('',#1795,5.); -#73=CYLINDRICAL_SURFACE('',#1798,5.); -#74=CYLINDRICAL_SURFACE('',#1803,20.); -#75=CYLINDRICAL_SURFACE('',#1819,20.); -#76=CYLINDRICAL_SURFACE('',#1821,20.); -#77=CYLINDRICAL_SURFACE('',#1824,9.); -#78=CYLINDRICAL_SURFACE('',#1837,9.); -#79=CYLINDRICAL_SURFACE('',#1846,9.); -#80=CYLINDRICAL_SURFACE('',#1848,8.); -#81=CYLINDRICAL_SURFACE('',#1853,8.); -#82=CYLINDRICAL_SURFACE('',#1856,8.); -#83=CYLINDRICAL_SURFACE('',#1861,8.); -#84=CYLINDRICAL_SURFACE('',#1868,8.); -#85=CYLINDRICAL_SURFACE('',#1872,8.); -#86=CYLINDRICAL_SURFACE('',#1874,8.); -#87=CYLINDRICAL_SURFACE('',#1890,20.); -#88=CYLINDRICAL_SURFACE('',#1893,20.); -#89=CYLINDRICAL_SURFACE('',#1910,20.); -#90=CYLINDRICAL_SURFACE('',#1912,20.); -#91=FACE_BOUND('',#295,.T.); -#92=FACE_BOUND('',#297,.T.); -#93=FACE_BOUND('',#301,.T.); -#94=FACE_BOUND('',#303,.T.); -#95=FACE_BOUND('',#307,.T.); -#96=FACE_BOUND('',#309,.T.); -#97=FACE_BOUND('',#313,.T.); -#98=FACE_BOUND('',#315,.T.); -#99=CIRCLE('',#1751,2.99999999999999); -#100=CIRCLE('',#1752,1.35); -#101=CIRCLE('',#1754,2.99999999999999); -#102=CIRCLE('',#1755,1.35); -#103=CIRCLE('',#1759,2.99999999999999); -#104=CIRCLE('',#1760,1.35); -#105=CIRCLE('',#1762,2.99999999999999); -#106=CIRCLE('',#1763,1.35); -#107=CIRCLE('',#1767,2.99999999999999); -#108=CIRCLE('',#1768,1.35); -#109=CIRCLE('',#1770,2.99999999999999); -#110=CIRCLE('',#1771,1.35); -#111=CIRCLE('',#1775,2.99999999999999); -#112=CIRCLE('',#1776,1.35); -#113=CIRCLE('',#1778,2.99999999999999); -#114=CIRCLE('',#1779,1.35); -#115=CIRCLE('',#1783,20.); -#116=CIRCLE('',#1784,21.); -#117=CIRCLE('',#1786,20.); -#118=CIRCLE('',#1787,20.); -#119=CIRCLE('',#1788,20.); -#120=CIRCLE('',#1789,20.); -#121=CIRCLE('',#1790,20.); -#122=CIRCLE('',#1796,5.); -#123=CIRCLE('',#1797,5.); -#124=CIRCLE('',#1799,5.); -#125=CIRCLE('',#1801,15.); -#126=CIRCLE('',#1802,20.); -#127=CIRCLE('',#1804,20.); -#128=CIRCLE('',#1807,14.); -#129=CIRCLE('',#1809,20.); -#130=CIRCLE('',#1810,4.99999999999999); -#131=CIRCLE('',#1811,15.); -#132=CIRCLE('',#1812,5.); -#133=CIRCLE('',#1814,9.); -#134=CIRCLE('',#1816,20.); -#135=CIRCLE('',#1817,20.); -#136=CIRCLE('',#1818,18.5404962177392); -#137=CIRCLE('',#1820,20.); -#138=CIRCLE('',#1823,20.); -#139=CIRCLE('',#1825,9.); -#140=CIRCLE('',#1826,9.); -#141=CIRCLE('',#1828,17.); -#142=CIRCLE('',#1829,8.); -#143=CIRCLE('',#1831,9.); -#144=CIRCLE('',#1832,8.); -#145=CIRCLE('',#1833,17.); -#146=CIRCLE('',#1835,9.); -#147=CIRCLE('',#1836,8.); -#148=CIRCLE('',#1838,9.); -#149=CIRCLE('',#1840,9.); -#150=CIRCLE('',#1841,8.00000000000001); -#151=CIRCLE('',#1843,17.); -#152=CIRCLE('',#1844,9.); -#153=CIRCLE('',#1845,8.00000000000001); -#154=CIRCLE('',#1849,8.); -#155=CIRCLE('',#1851,16.); -#156=CIRCLE('',#1852,16.); -#157=CIRCLE('',#1854,8.); -#158=CIRCLE('',#1857,8.); -#159=CIRCLE('',#1860,8.); -#160=CIRCLE('',#1862,8.); -#161=CIRCLE('',#1864,8.); -#162=CIRCLE('',#1865,16.); -#163=CIRCLE('',#1867,18.5404962177392); -#164=CIRCLE('',#1870,8.); -#165=CIRCLE('',#1873,8.00000000000001); -#166=CIRCLE('',#1875,8.00000000000001); -#167=CIRCLE('',#1879,20.); -#168=CIRCLE('',#1881,20.); -#169=CIRCLE('',#1882,20.); -#170=CIRCLE('',#1884,20.); -#171=CIRCLE('',#1889,20.); -#172=CIRCLE('',#1891,20.); -#173=CIRCLE('',#1911,20.); -#174=CIRCLE('',#1913,20.); -#175=CIRCLE('',#1914,20.); -#176=STYLED_ITEM('',(#3282),#182); -#177=STYLED_ITEM('',(#3282),#183); -#178=STYLED_ITEM('',(#3282),#184); -#179=STYLED_ITEM('',(#3282),#185); -#180=STYLED_ITEM('',(#3282),#186); -#181=STYLED_ITEM('',(#3282),#187); -#182=MANIFOLD_SOLID_BREP('bottom',#1737); -#183=MANIFOLD_SOLID_BREP('spacer_1',#1738); -#184=MANIFOLD_SOLID_BREP('spacer_2',#1739); -#185=MANIFOLD_SOLID_BREP('spacer_3',#1740); -#186=MANIFOLD_SOLID_BREP('spacer_4',#1741); -#187=MANIFOLD_SOLID_BREP('walls',#1742); -#188=FACE_OUTER_BOUND('',#288,.T.); -#189=FACE_OUTER_BOUND('',#289,.T.); -#190=FACE_OUTER_BOUND('',#290,.T.); -#191=FACE_OUTER_BOUND('',#291,.T.); -#192=FACE_OUTER_BOUND('',#292,.T.); -#193=FACE_OUTER_BOUND('',#293,.T.); -#194=FACE_OUTER_BOUND('',#294,.T.); -#195=FACE_OUTER_BOUND('',#296,.T.); -#196=FACE_OUTER_BOUND('',#298,.T.); -#197=FACE_OUTER_BOUND('',#299,.T.); -#198=FACE_OUTER_BOUND('',#300,.T.); -#199=FACE_OUTER_BOUND('',#302,.T.); -#200=FACE_OUTER_BOUND('',#304,.T.); -#201=FACE_OUTER_BOUND('',#305,.T.); -#202=FACE_OUTER_BOUND('',#306,.T.); -#203=FACE_OUTER_BOUND('',#308,.T.); -#204=FACE_OUTER_BOUND('',#310,.T.); -#205=FACE_OUTER_BOUND('',#311,.T.); -#206=FACE_OUTER_BOUND('',#312,.T.); -#207=FACE_OUTER_BOUND('',#314,.T.); -#208=FACE_OUTER_BOUND('',#316,.T.); -#209=FACE_OUTER_BOUND('',#317,.T.); -#210=FACE_OUTER_BOUND('',#318,.T.); -#211=FACE_OUTER_BOUND('',#319,.T.); -#212=FACE_OUTER_BOUND('',#320,.T.); -#213=FACE_OUTER_BOUND('',#321,.T.); -#214=FACE_OUTER_BOUND('',#322,.T.); -#215=FACE_OUTER_BOUND('',#323,.T.); -#216=FACE_OUTER_BOUND('',#324,.T.); -#217=FACE_OUTER_BOUND('',#325,.T.); -#218=FACE_OUTER_BOUND('',#326,.T.); -#219=FACE_OUTER_BOUND('',#327,.T.); -#220=FACE_OUTER_BOUND('',#328,.T.); -#221=FACE_OUTER_BOUND('',#329,.T.); -#222=FACE_OUTER_BOUND('',#330,.T.); -#223=FACE_OUTER_BOUND('',#331,.T.); -#224=FACE_OUTER_BOUND('',#332,.T.); -#225=FACE_OUTER_BOUND('',#333,.T.); -#226=FACE_OUTER_BOUND('',#334,.T.); -#227=FACE_OUTER_BOUND('',#335,.T.); -#228=FACE_OUTER_BOUND('',#336,.T.); -#229=FACE_OUTER_BOUND('',#337,.T.); -#230=FACE_OUTER_BOUND('',#338,.T.); -#231=FACE_OUTER_BOUND('',#339,.T.); -#232=FACE_OUTER_BOUND('',#340,.T.); -#233=FACE_OUTER_BOUND('',#341,.T.); -#234=FACE_OUTER_BOUND('',#342,.T.); -#235=FACE_OUTER_BOUND('',#343,.T.); -#236=FACE_OUTER_BOUND('',#344,.T.); -#237=FACE_OUTER_BOUND('',#345,.T.); -#238=FACE_OUTER_BOUND('',#346,.T.); -#239=FACE_OUTER_BOUND('',#347,.T.); -#240=FACE_OUTER_BOUND('',#348,.T.); -#241=FACE_OUTER_BOUND('',#349,.T.); -#242=FACE_OUTER_BOUND('',#350,.T.); -#243=FACE_OUTER_BOUND('',#351,.T.); -#244=FACE_OUTER_BOUND('',#352,.T.); -#245=FACE_OUTER_BOUND('',#353,.T.); -#246=FACE_OUTER_BOUND('',#354,.T.); -#247=FACE_OUTER_BOUND('',#355,.T.); -#248=FACE_OUTER_BOUND('',#356,.T.); -#249=FACE_OUTER_BOUND('',#357,.T.); -#250=FACE_OUTER_BOUND('',#358,.T.); -#251=FACE_OUTER_BOUND('',#359,.T.); -#252=FACE_OUTER_BOUND('',#360,.T.); -#253=FACE_OUTER_BOUND('',#361,.T.); -#254=FACE_OUTER_BOUND('',#362,.T.); -#255=FACE_OUTER_BOUND('',#363,.T.); -#256=FACE_OUTER_BOUND('',#364,.T.); -#257=FACE_OUTER_BOUND('',#365,.T.); -#258=FACE_OUTER_BOUND('',#366,.T.); -#259=FACE_OUTER_BOUND('',#367,.T.); -#260=FACE_OUTER_BOUND('',#368,.T.); -#261=FACE_OUTER_BOUND('',#369,.T.); -#262=FACE_OUTER_BOUND('',#370,.T.); -#263=FACE_OUTER_BOUND('',#371,.T.); -#264=FACE_OUTER_BOUND('',#372,.T.); -#265=FACE_OUTER_BOUND('',#373,.T.); -#266=FACE_OUTER_BOUND('',#374,.T.); -#267=FACE_OUTER_BOUND('',#375,.T.); -#268=FACE_OUTER_BOUND('',#376,.T.); -#269=FACE_OUTER_BOUND('',#377,.T.); -#270=FACE_OUTER_BOUND('',#378,.T.); -#271=FACE_OUTER_BOUND('',#379,.T.); -#272=FACE_OUTER_BOUND('',#380,.T.); -#273=FACE_OUTER_BOUND('',#381,.T.); -#274=FACE_OUTER_BOUND('',#382,.T.); -#275=FACE_OUTER_BOUND('',#383,.T.); -#276=FACE_OUTER_BOUND('',#384,.T.); -#277=FACE_OUTER_BOUND('',#385,.T.); -#278=FACE_OUTER_BOUND('',#386,.T.); -#279=FACE_OUTER_BOUND('',#387,.T.); -#280=FACE_OUTER_BOUND('',#388,.T.); -#281=FACE_OUTER_BOUND('',#389,.T.); -#282=FACE_OUTER_BOUND('',#390,.T.); -#283=FACE_OUTER_BOUND('',#391,.T.); -#284=FACE_OUTER_BOUND('',#392,.T.); -#285=FACE_OUTER_BOUND('',#393,.T.); -#286=FACE_OUTER_BOUND('',#394,.T.); -#287=FACE_OUTER_BOUND('',#395,.T.); -#288=EDGE_LOOP('',(#1086,#1087,#1088,#1089)); -#289=EDGE_LOOP('',(#1090,#1091,#1092,#1093)); -#290=EDGE_LOOP('',(#1094,#1095,#1096,#1097)); -#291=EDGE_LOOP('',(#1098,#1099,#1100,#1101)); -#292=EDGE_LOOP('',(#1102,#1103,#1104,#1105)); -#293=EDGE_LOOP('',(#1106,#1107,#1108,#1109)); -#294=EDGE_LOOP('',(#1110)); -#295=EDGE_LOOP('',(#1111)); -#296=EDGE_LOOP('',(#1112)); -#297=EDGE_LOOP('',(#1113)); -#298=EDGE_LOOP('',(#1114,#1115,#1116,#1117)); -#299=EDGE_LOOP('',(#1118,#1119,#1120,#1121)); -#300=EDGE_LOOP('',(#1122)); -#301=EDGE_LOOP('',(#1123)); -#302=EDGE_LOOP('',(#1124)); -#303=EDGE_LOOP('',(#1125)); -#304=EDGE_LOOP('',(#1126,#1127,#1128,#1129)); -#305=EDGE_LOOP('',(#1130,#1131,#1132,#1133)); -#306=EDGE_LOOP('',(#1134)); -#307=EDGE_LOOP('',(#1135)); -#308=EDGE_LOOP('',(#1136)); -#309=EDGE_LOOP('',(#1137)); -#310=EDGE_LOOP('',(#1138,#1139,#1140,#1141)); -#311=EDGE_LOOP('',(#1142,#1143,#1144,#1145)); -#312=EDGE_LOOP('',(#1146)); -#313=EDGE_LOOP('',(#1147)); -#314=EDGE_LOOP('',(#1148)); -#315=EDGE_LOOP('',(#1149)); -#316=EDGE_LOOP('',(#1150,#1151,#1152,#1153)); -#317=EDGE_LOOP('',(#1154,#1155,#1156,#1157)); -#318=EDGE_LOOP('',(#1158,#1159,#1160,#1161)); -#319=EDGE_LOOP('',(#1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170, -#1171,#1172,#1173,#1174,#1175)); -#320=EDGE_LOOP('',(#1176,#1177,#1178,#1179)); -#321=EDGE_LOOP('',(#1180,#1181,#1182,#1183)); -#322=EDGE_LOOP('',(#1184,#1185,#1186,#1187)); -#323=EDGE_LOOP('',(#1188,#1189,#1190,#1191)); -#324=EDGE_LOOP('',(#1192,#1193,#1194,#1195,#1196)); -#325=EDGE_LOOP('',(#1197,#1198,#1199)); -#326=EDGE_LOOP('',(#1200,#1201,#1202,#1203)); -#327=EDGE_LOOP('',(#1204,#1205,#1206,#1207,#1208)); -#328=EDGE_LOOP('',(#1209,#1210,#1211,#1212,#1213)); -#329=EDGE_LOOP('',(#1214,#1215,#1216,#1217,#1218,#1219,#1220,#1221,#1222)); -#330=EDGE_LOOP('',(#1223,#1224,#1225,#1226,#1227)); -#331=EDGE_LOOP('',(#1228,#1229,#1230,#1231)); -#332=EDGE_LOOP('',(#1232,#1233,#1234,#1235,#1236,#1237,#1238,#1239)); -#333=EDGE_LOOP('',(#1240,#1241,#1242,#1243,#1244,#1245)); -#334=EDGE_LOOP('',(#1246,#1247,#1248,#1249,#1250,#1251,#1252)); -#335=EDGE_LOOP('',(#1253,#1254,#1255,#1256,#1257,#1258)); -#336=EDGE_LOOP('',(#1259,#1260,#1261,#1262)); -#337=EDGE_LOOP('',(#1263,#1264,#1265,#1266)); -#338=EDGE_LOOP('',(#1267,#1268,#1269,#1270,#1271,#1272)); -#339=EDGE_LOOP('',(#1273,#1274,#1275,#1276)); -#340=EDGE_LOOP('',(#1277,#1278,#1279,#1280)); -#341=EDGE_LOOP('',(#1281,#1282,#1283,#1284)); -#342=EDGE_LOOP('',(#1285,#1286,#1287,#1288,#1289,#1290)); -#343=EDGE_LOOP('',(#1291,#1292,#1293,#1294,#1295)); -#344=EDGE_LOOP('',(#1296,#1297,#1298,#1299)); -#345=EDGE_LOOP('',(#1300,#1301,#1302,#1303,#1304)); -#346=EDGE_LOOP('',(#1305,#1306,#1307,#1308,#1309,#1310)); -#347=EDGE_LOOP('',(#1311,#1312,#1313,#1314,#1315)); -#348=EDGE_LOOP('',(#1316,#1317,#1318,#1319,#1320,#1321)); -#349=EDGE_LOOP('',(#1322,#1323,#1324)); -#350=EDGE_LOOP('',(#1325,#1326,#1327,#1328,#1329,#1330)); -#351=EDGE_LOOP('',(#1331,#1332,#1333,#1334)); -#352=EDGE_LOOP('',(#1335,#1336,#1337,#1338)); -#353=EDGE_LOOP('',(#1339,#1340,#1341,#1342,#1343)); -#354=EDGE_LOOP('',(#1344,#1345,#1346,#1347,#1348,#1349,#1350,#1351,#1352, -#1353,#1354,#1355,#1356,#1357,#1358)); -#355=EDGE_LOOP('',(#1359,#1360,#1361,#1362)); -#356=EDGE_LOOP('',(#1363,#1364,#1365,#1366)); -#357=EDGE_LOOP('',(#1367,#1368,#1369,#1370,#1371,#1372,#1373,#1374)); -#358=EDGE_LOOP('',(#1375,#1376,#1377,#1378)); -#359=EDGE_LOOP('',(#1379,#1380,#1381,#1382)); -#360=EDGE_LOOP('',(#1383,#1384,#1385,#1386)); -#361=EDGE_LOOP('',(#1387,#1388,#1389,#1390)); -#362=EDGE_LOOP('',(#1391,#1392,#1393,#1394,#1395,#1396)); -#363=EDGE_LOOP('',(#1397,#1398,#1399,#1400,#1401,#1402,#1403,#1404,#1405, -#1406,#1407,#1408,#1409)); -#364=EDGE_LOOP('',(#1410,#1411,#1412,#1413,#1414,#1415,#1416,#1417,#1418)); -#365=EDGE_LOOP('',(#1419,#1420,#1421,#1422,#1423)); -#366=EDGE_LOOP('',(#1424,#1425,#1426,#1427)); -#367=EDGE_LOOP('',(#1428,#1429,#1430,#1431,#1432)); -#368=EDGE_LOOP('',(#1433,#1434,#1435,#1436)); -#369=EDGE_LOOP('',(#1437,#1438,#1439,#1440)); -#370=EDGE_LOOP('',(#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448)); -#371=EDGE_LOOP('',(#1449,#1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457)); -#372=EDGE_LOOP('',(#1458,#1459,#1460,#1461)); -#373=EDGE_LOOP('',(#1462,#1463,#1464,#1465,#1466,#1467)); -#374=EDGE_LOOP('',(#1468,#1469,#1470,#1471,#1472)); -#375=EDGE_LOOP('',(#1473,#1474,#1475,#1476,#1477)); -#376=EDGE_LOOP('',(#1478,#1479,#1480,#1481)); -#377=EDGE_LOOP('',(#1482,#1483,#1484,#1485)); -#378=EDGE_LOOP('',(#1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493)); -#379=EDGE_LOOP('',(#1494,#1495,#1496,#1497)); -#380=EDGE_LOOP('',(#1498,#1499,#1500,#1501,#1502)); -#381=EDGE_LOOP('',(#1503,#1504,#1505,#1506,#1507)); -#382=EDGE_LOOP('',(#1508,#1509,#1510,#1511,#1512,#1513,#1514,#1515,#1516, -#1517,#1518,#1519)); -#383=EDGE_LOOP('',(#1520,#1521,#1522,#1523,#1524)); -#384=EDGE_LOOP('',(#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532)); -#385=EDGE_LOOP('',(#1533,#1534,#1535,#1536,#1537)); -#386=EDGE_LOOP('',(#1538,#1539,#1540,#1541)); -#387=EDGE_LOOP('',(#1542,#1543,#1544,#1545)); -#388=EDGE_LOOP('',(#1546,#1547,#1548,#1549)); -#389=EDGE_LOOP('',(#1550,#1551,#1552,#1553)); -#390=EDGE_LOOP('',(#1554,#1555,#1556)); -#391=EDGE_LOOP('',(#1557,#1558,#1559)); -#392=EDGE_LOOP('',(#1560,#1561,#1562,#1563)); -#393=EDGE_LOOP('',(#1564,#1565,#1566,#1567)); -#394=EDGE_LOOP('',(#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575,#1576, -#1577,#1578,#1579)); -#395=EDGE_LOOP('',(#1580,#1581,#1582,#1583)); -#396=LINE('',#2422,#537); -#397=LINE('',#2424,#538); -#398=LINE('',#2426,#539); -#399=LINE('',#2427,#540); -#400=LINE('',#2430,#541); -#401=LINE('',#2432,#542); -#402=LINE('',#2433,#543); -#403=LINE('',#2436,#544); -#404=LINE('',#2438,#545); -#405=LINE('',#2439,#546); -#406=LINE('',#2441,#547); -#407=LINE('',#2442,#548); -#408=LINE('',#2456,#549); -#409=LINE('',#2458,#550); -#410=LINE('',#2470,#551); -#411=LINE('',#2472,#552); -#412=LINE('',#2484,#553); -#413=LINE('',#2486,#554); -#414=LINE('',#2498,#555); -#415=LINE('',#2500,#556); -#416=LINE('',#2516,#557); -#417=LINE('',#2518,#558); -#418=LINE('',#2522,#559); -#419=LINE('',#2533,#560); -#420=LINE('',#2537,#561); -#421=LINE('',#2541,#562); -#422=LINE('',#2555,#563); -#423=LINE('',#2557,#564); -#424=LINE('',#2559,#565); -#425=LINE('',#2560,#566); -#426=LINE('',#2563,#567); -#427=LINE('',#2565,#568); -#428=LINE('',#2566,#569); -#429=LINE('',#2570,#570); -#430=LINE('',#2572,#571); -#431=LINE('',#2574,#572); -#432=LINE('',#2575,#573); -#433=LINE('',#2578,#574); -#434=LINE('',#2580,#575); -#435=LINE('',#2581,#576); -#436=LINE('',#2591,#577); -#437=LINE('',#2594,#578); -#438=LINE('',#2755,#579); -#439=LINE('',#2756,#580); -#440=LINE('',#2771,#581); -#441=LINE('',#2776,#582); -#442=LINE('',#2779,#583); -#443=LINE('',#2786,#584); -#444=LINE('',#2790,#585); -#445=LINE('',#2791,#586); -#446=LINE('',#2943,#587); -#447=LINE('',#2945,#588); -#448=LINE('',#2948,#589); -#449=LINE('',#2957,#590); -#450=LINE('',#2960,#591); -#451=LINE('',#2997,#592); -#452=LINE('',#3000,#593); -#453=LINE('',#3033,#594); -#454=LINE('',#3034,#595); -#455=LINE('',#3037,#596); -#456=LINE('',#3039,#597); -#457=LINE('',#3040,#598); -#458=LINE('',#3043,#599); -#459=LINE('',#3047,#600); -#460=LINE('',#3051,#601); -#461=LINE('',#3055,#602); -#462=LINE('',#3059,#603); -#463=LINE('',#3061,#604); -#464=LINE('',#3062,#605); -#465=LINE('',#3067,#606); -#466=LINE('',#3069,#607); -#467=LINE('',#3070,#608); -#468=LINE('',#3086,#609); -#469=LINE('',#3104,#610); -#470=LINE('',#3106,#611); -#471=LINE('',#3108,#612); -#472=LINE('',#3110,#613); -#473=LINE('',#3112,#614); -#474=LINE('',#3113,#615); -#475=LINE('',#3119,#616); -#476=LINE('',#3120,#617); -#477=LINE('',#3128,#618); -#478=LINE('',#3129,#619); -#479=LINE('',#3132,#620); -#480=LINE('',#3134,#621); -#481=LINE('',#3135,#622); -#482=LINE('',#3139,#623); -#483=LINE('',#3141,#624); -#484=LINE('',#3143,#625); -#485=LINE('',#3145,#626); -#486=LINE('',#3147,#627); -#487=LINE('',#3149,#628); -#488=LINE('',#3151,#629); -#489=LINE('',#3155,#630); -#490=LINE('',#3160,#631); -#491=LINE('',#3162,#632); -#492=LINE('',#3164,#633); -#493=LINE('',#3166,#634); -#494=LINE('',#3167,#635); -#495=LINE('',#3170,#636); -#496=LINE('',#3171,#637); -#497=LINE('',#3174,#638); -#498=LINE('',#3175,#639); -#499=LINE('',#3177,#640); -#500=LINE('',#3181,#641); -#501=LINE('',#3183,#642); -#502=LINE('',#3186,#643); -#503=LINE('',#3189,#644); -#504=LINE('',#3191,#645); -#505=LINE('',#3193,#646); -#506=LINE('',#3194,#647); -#507=LINE('',#3196,#648); -#508=LINE('',#3200,#649); -#509=LINE('',#3202,#650); -#510=LINE('',#3203,#651); -#511=LINE('',#3205,#652); -#512=LINE('',#3207,#653); -#513=LINE('',#3209,#654); -#514=LINE('',#3210,#655); -#515=LINE('',#3215,#656); -#516=LINE('',#3216,#657); -#517=LINE('',#3220,#658); -#518=LINE('',#3221,#659); -#519=LINE('',#3222,#660); -#520=LINE('',#3225,#661); -#521=LINE('',#3226,#662); -#522=LINE('',#3228,#663); -#523=LINE('',#3229,#664); -#524=LINE('',#3230,#665); -#525=LINE('',#3232,#666); -#526=LINE('',#3235,#667); -#527=LINE('',#3237,#668); -#528=LINE('',#3238,#669); -#529=LINE('',#3241,#670); -#530=LINE('',#3242,#671); -#531=LINE('',#3244,#672); -#532=LINE('',#3255,#673); -#533=LINE('',#3256,#674); -#534=LINE('',#3259,#675); -#535=LINE('',#3260,#676); -#536=LINE('',#3262,#677); -#537=VECTOR('',#1925,10.); -#538=VECTOR('',#1926,10.); -#539=VECTOR('',#1927,10.); -#540=VECTOR('',#1928,10.); -#541=VECTOR('',#1931,10.); -#542=VECTOR('',#1932,10.); -#543=VECTOR('',#1933,10.); -#544=VECTOR('',#1936,10.); -#545=VECTOR('',#1937,10.); -#546=VECTOR('',#1938,10.); -#547=VECTOR('',#1941,10.); -#548=VECTOR('',#1942,10.); -#549=VECTOR('',#1961,1.35); -#550=VECTOR('',#1964,2.99999999999999); -#551=VECTOR('',#1979,1.35); -#552=VECTOR('',#1982,2.99999999999999); -#553=VECTOR('',#1997,1.35); -#554=VECTOR('',#2000,2.99999999999999); -#555=VECTOR('',#2015,1.35); -#556=VECTOR('',#2018,2.99999999999999); -#557=VECTOR('',#2027,10.); -#558=VECTOR('',#2028,10.); -#559=VECTOR('',#2031,10.); -#560=VECTOR('',#2034,10.); -#561=VECTOR('',#2037,10.); -#562=VECTOR('',#2040,10.); -#563=VECTOR('',#2045,10.); -#564=VECTOR('',#2046,10.); -#565=VECTOR('',#2047,10.); -#566=VECTOR('',#2048,10.); -#567=VECTOR('',#2051,10.); -#568=VECTOR('',#2052,10.); -#569=VECTOR('',#2053,10.); -#570=VECTOR('',#2056,10.); -#571=VECTOR('',#2057,10.); -#572=VECTOR('',#2058,10.); -#573=VECTOR('',#2059,10.); -#574=VECTOR('',#2062,10.); -#575=VECTOR('',#2063,10.); -#576=VECTOR('',#2064,10.); -#577=VECTOR('',#2067,5.); -#578=VECTOR('',#2070,10.); -#579=VECTOR('',#2077,10.); -#580=VECTOR('',#2078,10.); -#581=VECTOR('',#2087,10.); -#582=VECTOR('',#2092,10.); -#583=VECTOR('',#2095,10.); -#584=VECTOR('',#2096,10.); -#585=VECTOR('',#2099,10.); -#586=VECTOR('',#2100,10.); -#587=VECTOR('',#2125,10.); -#588=VECTOR('',#2128,10.); -#589=VECTOR('',#2131,10.); -#590=VECTOR('',#2140,9.); -#591=VECTOR('',#2143,9.); -#592=VECTOR('',#2166,10.); -#593=VECTOR('',#2169,9.); -#594=VECTOR('',#2186,9.); -#595=VECTOR('',#2187,9.); -#596=VECTOR('',#2190,10.); -#597=VECTOR('',#2191,10.); -#598=VECTOR('',#2192,10.); -#599=VECTOR('',#2195,10.); -#600=VECTOR('',#2200,10.); -#601=VECTOR('',#2203,10.); -#602=VECTOR('',#2208,10.); -#603=VECTOR('',#2213,8.); -#604=VECTOR('',#2214,10.); -#605=VECTOR('',#2215,10.); -#606=VECTOR('',#2222,10.); -#607=VECTOR('',#2223,10.); -#608=VECTOR('',#2224,10.); -#609=VECTOR('',#2231,10.); -#610=VECTOR('',#2244,10.); -#611=VECTOR('',#2245,10.); -#612=VECTOR('',#2246,10.); -#613=VECTOR('',#2247,10.); -#614=VECTOR('',#2248,10.); -#615=VECTOR('',#2249,10.); -#616=VECTOR('',#2258,10.); -#617=VECTOR('',#2259,10.); -#618=VECTOR('',#2272,10.); -#619=VECTOR('',#2273,10.); -#620=VECTOR('',#2276,10.); -#621=VECTOR('',#2277,10.); -#622=VECTOR('',#2278,10.); -#623=VECTOR('',#2283,10.); -#624=VECTOR('',#2284,10.); -#625=VECTOR('',#2285,10.); -#626=VECTOR('',#2286,10.); -#627=VECTOR('',#2287,10.); -#628=VECTOR('',#2288,10.); -#629=VECTOR('',#2289,10.); -#630=VECTOR('',#2294,10.); -#631=VECTOR('',#2299,10.); -#632=VECTOR('',#2300,10.); -#633=VECTOR('',#2301,10.); -#634=VECTOR('',#2302,10.); -#635=VECTOR('',#2303,10.); -#636=VECTOR('',#2306,10.); -#637=VECTOR('',#2307,10.); -#638=VECTOR('',#2310,10.); -#639=VECTOR('',#2311,10.); -#640=VECTOR('',#2314,10.); -#641=VECTOR('',#2317,10.); -#642=VECTOR('',#2320,10.); -#643=VECTOR('',#2323,10.); -#644=VECTOR('',#2328,10.); -#645=VECTOR('',#2329,10.); -#646=VECTOR('',#2330,10.); -#647=VECTOR('',#2331,10.); -#648=VECTOR('',#2334,10.); -#649=VECTOR('',#2339,10.); -#650=VECTOR('',#2340,10.); -#651=VECTOR('',#2341,10.); -#652=VECTOR('',#2344,10.); -#653=VECTOR('',#2347,10.); -#654=VECTOR('',#2350,10.); -#655=VECTOR('',#2351,10.); -#656=VECTOR('',#2360,10.); -#657=VECTOR('',#2361,10.); -#658=VECTOR('',#2364,10.); -#659=VECTOR('',#2365,10.); -#660=VECTOR('',#2366,10.); -#661=VECTOR('',#2369,10.); -#662=VECTOR('',#2370,10.); -#663=VECTOR('',#2371,10.); -#664=VECTOR('',#2372,10.); -#665=VECTOR('',#2373,10.); -#666=VECTOR('',#2376,10.); -#667=VECTOR('',#2379,10.); -#668=VECTOR('',#2380,10.); -#669=VECTOR('',#2381,10.); -#670=VECTOR('',#2384,10.); -#671=VECTOR('',#2385,10.); -#672=VECTOR('',#2388,10.); -#673=VECTOR('',#2407,10.); -#674=VECTOR('',#2408,10.); -#675=VECTOR('',#2411,10.); -#676=VECTOR('',#2412,10.); -#677=VECTOR('',#2415,10.); -#678=VERTEX_POINT('',#2420); -#679=VERTEX_POINT('',#2421); -#680=VERTEX_POINT('',#2423); -#681=VERTEX_POINT('',#2425); -#682=VERTEX_POINT('',#2429); -#683=VERTEX_POINT('',#2431); -#684=VERTEX_POINT('',#2435); -#685=VERTEX_POINT('',#2437); -#686=VERTEX_POINT('',#2446); -#687=VERTEX_POINT('',#2448); -#688=VERTEX_POINT('',#2451); -#689=VERTEX_POINT('',#2453); -#690=VERTEX_POINT('',#2460); -#691=VERTEX_POINT('',#2462); -#692=VERTEX_POINT('',#2465); -#693=VERTEX_POINT('',#2467); -#694=VERTEX_POINT('',#2474); -#695=VERTEX_POINT('',#2476); -#696=VERTEX_POINT('',#2479); -#697=VERTEX_POINT('',#2481); -#698=VERTEX_POINT('',#2488); -#699=VERTEX_POINT('',#2490); -#700=VERTEX_POINT('',#2493); -#701=VERTEX_POINT('',#2495); -#702=VERTEX_POINT('',#2502); -#703=VERTEX_POINT('',#2503); -#704=VERTEX_POINT('',#2507); -#705=VERTEX_POINT('',#2509); -#706=VERTEX_POINT('',#2515); -#707=VERTEX_POINT('',#2517); -#708=VERTEX_POINT('',#2519); -#709=VERTEX_POINT('',#2521); -#710=VERTEX_POINT('',#2523); -#711=VERTEX_POINT('',#2525); -#712=VERTEX_POINT('',#2532); -#713=VERTEX_POINT('',#2534); -#714=VERTEX_POINT('',#2536); -#715=VERTEX_POINT('',#2538); -#716=VERTEX_POINT('',#2540); -#717=VERTEX_POINT('',#2542); -#718=VERTEX_POINT('',#2553); -#719=VERTEX_POINT('',#2554); -#720=VERTEX_POINT('',#2556); -#721=VERTEX_POINT('',#2558); -#722=VERTEX_POINT('',#2562); -#723=VERTEX_POINT('',#2564); -#724=VERTEX_POINT('',#2568); -#725=VERTEX_POINT('',#2569); -#726=VERTEX_POINT('',#2571); -#727=VERTEX_POINT('',#2573); -#728=VERTEX_POINT('',#2577); -#729=VERTEX_POINT('',#2579); -#730=VERTEX_POINT('',#2583); -#731=VERTEX_POINT('',#2590); -#732=VERTEX_POINT('',#2592); -#733=VERTEX_POINT('',#2709); -#734=VERTEX_POINT('',#2710); -#735=VERTEX_POINT('',#2712); -#736=VERTEX_POINT('',#2752); -#737=VERTEX_POINT('',#2753); -#738=VERTEX_POINT('',#2759); -#739=VERTEX_POINT('',#2770); -#740=VERTEX_POINT('',#2772); -#741=VERTEX_POINT('',#2774); -#742=VERTEX_POINT('',#2778); -#743=VERTEX_POINT('',#2780); -#744=VERTEX_POINT('',#2785); -#745=VERTEX_POINT('',#2787); -#746=VERTEX_POINT('',#2789); -#747=VERTEX_POINT('',#2793); -#748=VERTEX_POINT('',#2794); -#749=VERTEX_POINT('',#2803); -#750=VERTEX_POINT('',#2805); -#751=VERTEX_POINT('',#2807); -#752=VERTEX_POINT('',#2861); -#753=VERTEX_POINT('',#2870); -#754=VERTEX_POINT('',#2871); -#755=VERTEX_POINT('',#2879); -#756=VERTEX_POINT('',#2886); -#757=VERTEX_POINT('',#2893); -#758=VERTEX_POINT('',#2905); -#759=VERTEX_POINT('',#2907); -#760=VERTEX_POINT('',#2909); -#761=VERTEX_POINT('',#2911); -#762=VERTEX_POINT('',#2931); -#763=VERTEX_POINT('',#2942); -#764=VERTEX_POINT('',#2947); -#765=VERTEX_POINT('',#2949); -#766=VERTEX_POINT('',#2953); -#767=VERTEX_POINT('',#2954); -#768=VERTEX_POINT('',#2956); -#769=VERTEX_POINT('',#2958); -#770=VERTEX_POINT('',#2965); -#771=VERTEX_POINT('',#2966); -#772=VERTEX_POINT('',#2971); -#773=VERTEX_POINT('',#2974); -#774=VERTEX_POINT('',#2985); -#775=VERTEX_POINT('',#2992); -#776=VERTEX_POINT('',#2996); -#777=VERTEX_POINT('',#2998); -#778=VERTEX_POINT('',#3002); -#779=VERTEX_POINT('',#3007); -#780=VERTEX_POINT('',#3011); -#781=VERTEX_POINT('',#3012); -#782=VERTEX_POINT('',#3021); -#783=VERTEX_POINT('',#3023); -#784=VERTEX_POINT('',#3036); -#785=VERTEX_POINT('',#3038); -#786=VERTEX_POINT('',#3042); -#787=VERTEX_POINT('',#3046); -#788=VERTEX_POINT('',#3048); -#789=VERTEX_POINT('',#3050); -#790=VERTEX_POINT('',#3054); -#791=VERTEX_POINT('',#3058); -#792=VERTEX_POINT('',#3060); -#793=VERTEX_POINT('',#3066); -#794=VERTEX_POINT('',#3068); -#795=VERTEX_POINT('',#3072); -#796=VERTEX_POINT('',#3085); -#797=VERTEX_POINT('',#3093); -#798=VERTEX_POINT('',#3105); -#799=VERTEX_POINT('',#3107); -#800=VERTEX_POINT('',#3109); -#801=VERTEX_POINT('',#3111); -#802=VERTEX_POINT('',#3118); -#803=VERTEX_POINT('',#3127); -#804=VERTEX_POINT('',#3131); -#805=VERTEX_POINT('',#3133); -#806=VERTEX_POINT('',#3138); -#807=VERTEX_POINT('',#3140); -#808=VERTEX_POINT('',#3142); -#809=VERTEX_POINT('',#3144); -#810=VERTEX_POINT('',#3146); -#811=VERTEX_POINT('',#3148); -#812=VERTEX_POINT('',#3150); -#813=VERTEX_POINT('',#3153); -#814=VERTEX_POINT('',#3157); -#815=VERTEX_POINT('',#3159); -#816=VERTEX_POINT('',#3161); -#817=VERTEX_POINT('',#3163); -#818=VERTEX_POINT('',#3165); -#819=VERTEX_POINT('',#3169); -#820=VERTEX_POINT('',#3173); -#821=VERTEX_POINT('',#3179); -#822=VERTEX_POINT('',#3180); -#823=VERTEX_POINT('',#3185); -#824=VERTEX_POINT('',#3190); -#825=VERTEX_POINT('',#3192); -#826=VERTEX_POINT('',#3199); -#827=VERTEX_POINT('',#3201); -#828=VERTEX_POINT('',#3218); -#829=VERTEX_POINT('',#3219); -#830=VERTEX_POINT('',#3224); -#831=VERTEX_POINT('',#3227); -#832=VERTEX_POINT('',#3234); -#833=VERTEX_POINT('',#3236); -#834=VERTEX_POINT('',#3240); -#835=VERTEX_POINT('',#3254); -#836=VERTEX_POINT('',#3258); -#837=EDGE_CURVE('',#678,#679,#396,.T.); -#838=EDGE_CURVE('',#679,#680,#397,.T.); -#839=EDGE_CURVE('',#681,#680,#398,.T.); -#840=EDGE_CURVE('',#678,#681,#399,.T.); -#841=EDGE_CURVE('',#682,#678,#400,.T.); -#842=EDGE_CURVE('',#683,#681,#401,.T.); -#843=EDGE_CURVE('',#682,#683,#402,.T.); -#844=EDGE_CURVE('',#684,#682,#403,.T.); -#845=EDGE_CURVE('',#685,#683,#404,.T.); -#846=EDGE_CURVE('',#684,#685,#405,.T.); -#847=EDGE_CURVE('',#679,#684,#406,.T.); -#848=EDGE_CURVE('',#680,#685,#407,.T.); -#849=EDGE_CURVE('',#686,#686,#99,.T.); -#850=EDGE_CURVE('',#687,#687,#100,.T.); -#851=EDGE_CURVE('',#688,#688,#101,.T.); -#852=EDGE_CURVE('',#689,#689,#102,.T.); -#853=EDGE_CURVE('',#687,#689,#408,.T.); -#854=EDGE_CURVE('',#686,#688,#409,.T.); -#855=EDGE_CURVE('',#690,#690,#103,.T.); -#856=EDGE_CURVE('',#691,#691,#104,.T.); -#857=EDGE_CURVE('',#692,#692,#105,.T.); -#858=EDGE_CURVE('',#693,#693,#106,.T.); -#859=EDGE_CURVE('',#691,#693,#410,.T.); -#860=EDGE_CURVE('',#690,#692,#411,.T.); -#861=EDGE_CURVE('',#694,#694,#107,.T.); -#862=EDGE_CURVE('',#695,#695,#108,.T.); -#863=EDGE_CURVE('',#696,#696,#109,.T.); -#864=EDGE_CURVE('',#697,#697,#110,.T.); -#865=EDGE_CURVE('',#695,#697,#412,.T.); -#866=EDGE_CURVE('',#694,#696,#413,.T.); -#867=EDGE_CURVE('',#698,#698,#111,.T.); -#868=EDGE_CURVE('',#699,#699,#112,.T.); -#869=EDGE_CURVE('',#700,#700,#113,.T.); -#870=EDGE_CURVE('',#701,#701,#114,.T.); -#871=EDGE_CURVE('',#699,#701,#414,.T.); -#872=EDGE_CURVE('',#698,#700,#415,.T.); -#873=EDGE_CURVE('',#702,#703,#60,.F.); -#874=EDGE_CURVE('',#703,#704,#115,.T.); -#875=EDGE_CURVE('',#704,#705,#61,.F.); -#876=EDGE_CURVE('',#705,#702,#116,.T.); -#877=EDGE_CURVE('',#704,#706,#416,.T.); -#878=EDGE_CURVE('',#707,#703,#417,.T.); -#879=EDGE_CURVE('',#708,#707,#117,.T.); -#880=EDGE_CURVE('',#708,#709,#418,.T.); -#881=EDGE_CURVE('',#710,#709,#118,.T.); -#882=EDGE_CURVE('',#711,#710,#33,.F.); -#883=EDGE_CURVE('',#711,#712,#419,.T.); -#884=EDGE_CURVE('',#712,#713,#119,.T.); -#885=EDGE_CURVE('',#714,#713,#420,.T.); -#886=EDGE_CURVE('',#715,#714,#120,.T.); -#887=EDGE_CURVE('',#716,#715,#421,.T.); -#888=EDGE_CURVE('',#716,#717,#34,.F.); -#889=EDGE_CURVE('',#717,#706,#121,.F.); -#890=EDGE_CURVE('',#718,#719,#422,.F.); -#891=EDGE_CURVE('',#719,#720,#423,.T.); -#892=EDGE_CURVE('',#720,#721,#424,.F.); -#893=EDGE_CURVE('',#721,#718,#425,.T.); -#894=EDGE_CURVE('',#719,#722,#426,.T.); -#895=EDGE_CURVE('',#723,#722,#427,.T.); -#896=EDGE_CURVE('',#720,#723,#428,.T.); -#897=EDGE_CURVE('',#724,#725,#429,.F.); -#898=EDGE_CURVE('',#725,#726,#430,.T.); -#899=EDGE_CURVE('',#726,#727,#431,.F.); -#900=EDGE_CURVE('',#727,#724,#432,.T.); -#901=EDGE_CURVE('',#728,#725,#433,.T.); -#902=EDGE_CURVE('',#729,#728,#434,.T.); -#903=EDGE_CURVE('',#726,#729,#435,.T.); -#904=EDGE_CURVE('',#710,#730,#35,.F.); -#905=EDGE_CURVE('',#730,#731,#436,.T.); -#906=EDGE_CURVE('',#731,#732,#122,.F.); -#907=EDGE_CURVE('',#711,#732,#437,.T.); -#908=EDGE_CURVE('',#733,#734,#123,.T.); -#909=EDGE_CURVE('',#734,#735,#36,.T.); -#910=EDGE_CURVE('',#735,#733,#37,.T.); -#911=EDGE_CURVE('',#736,#737,#124,.T.); -#912=EDGE_CURVE('',#737,#734,#438,.T.); -#913=EDGE_CURVE('',#733,#736,#439,.T.); -#914=EDGE_CURVE('',#716,#737,#125,.T.); -#915=EDGE_CURVE('',#736,#738,#126,.T.); -#916=EDGE_CURVE('',#738,#717,#38,.T.); -#917=EDGE_CURVE('',#736,#739,#440,.T.); -#918=EDGE_CURVE('',#740,#739,#127,.T.); -#919=EDGE_CURVE('',#740,#741,#17,.T.); -#920=EDGE_CURVE('',#738,#741,#441,.T.); -#921=EDGE_CURVE('',#742,#735,#442,.T.); -#922=EDGE_CURVE('',#742,#743,#39,.T.); -#923=EDGE_CURVE('',#744,#743,#443,.T.); -#924=EDGE_CURVE('',#745,#744,#128,.T.); -#925=EDGE_CURVE('',#746,#745,#444,.T.); -#926=EDGE_CURVE('',#739,#746,#445,.T.); -#927=EDGE_CURVE('',#747,#748,#40,.F.); -#928=EDGE_CURVE('',#747,#749,#129,.T.); -#929=EDGE_CURVE('',#749,#750,#130,.T.); -#930=EDGE_CURVE('',#750,#751,#131,.T.); -#931=EDGE_CURVE('',#751,#748,#41,.T.); -#932=EDGE_CURVE('',#749,#745,#42,.T.); -#933=EDGE_CURVE('',#745,#752,#132,.T.); -#934=EDGE_CURVE('',#752,#750,#43,.T.); -#935=EDGE_CURVE('',#753,#754,#44,.T.); -#936=EDGE_CURVE('',#753,#752,#133,.T.); -#937=EDGE_CURVE('',#755,#744,#45,.T.); -#938=EDGE_CURVE('',#756,#755,#46,.T.); -#939=EDGE_CURVE('',#757,#756,#47,.T.); -#940=EDGE_CURVE('',#754,#757,#48,.T.); -#941=EDGE_CURVE('',#758,#755,#134,.T.); -#942=EDGE_CURVE('',#759,#758,#135,.T.); -#943=EDGE_CURVE('',#759,#760,#136,.T.); -#944=EDGE_CURVE('',#761,#760,#49,.F.); -#945=EDGE_CURVE('',#756,#761,#50,.F.); -#946=EDGE_CURVE('',#743,#762,#51,.T.); -#947=EDGE_CURVE('',#762,#763,#446,.T.); -#948=EDGE_CURVE('',#763,#709,#137,.T.); -#949=EDGE_CURVE('',#709,#758,#447,.T.); -#950=EDGE_CURVE('',#764,#747,#448,.T.); -#951=EDGE_CURVE('',#765,#764,#18,.T.); -#952=EDGE_CURVE('',#746,#765,#138,.T.); -#953=EDGE_CURVE('',#766,#767,#139,.T.); -#954=EDGE_CURVE('',#767,#768,#449,.T.); -#955=EDGE_CURVE('',#768,#769,#140,.T.); -#956=EDGE_CURVE('',#769,#766,#450,.T.); -#957=EDGE_CURVE('',#734,#767,#141,.T.); -#958=EDGE_CURVE('',#766,#735,#142,.T.); -#959=EDGE_CURVE('',#770,#771,#52,.T.); -#960=EDGE_CURVE('',#771,#772,#143,.T.); -#961=EDGE_CURVE('',#772,#769,#144,.T.); -#962=EDGE_CURVE('',#768,#773,#145,.T.); -#963=EDGE_CURVE('',#773,#770,#53,.T.); -#964=EDGE_CURVE('',#771,#774,#54,.T.); -#965=EDGE_CURVE('',#774,#775,#146,.T.); -#966=EDGE_CURVE('',#775,#772,#147,.T.); -#967=EDGE_CURVE('',#774,#776,#451,.T.); -#968=EDGE_CURVE('',#776,#777,#148,.T.); -#969=EDGE_CURVE('',#777,#775,#452,.T.); -#970=EDGE_CURVE('',#776,#778,#55,.T.); -#971=EDGE_CURVE('',#778,#779,#149,.T.); -#972=EDGE_CURVE('',#779,#777,#150,.T.); -#973=EDGE_CURVE('',#780,#781,#56,.T.); -#974=EDGE_CURVE('',#781,#782,#151,.T.); -#975=EDGE_CURVE('',#782,#783,#152,.T.); -#976=EDGE_CURVE('',#783,#779,#153,.T.); -#977=EDGE_CURVE('',#778,#780,#57,.T.); -#978=EDGE_CURVE('',#753,#783,#453,.T.); -#979=EDGE_CURVE('',#782,#750,#454,.T.); -#980=EDGE_CURVE('',#784,#769,#455,.T.); -#981=EDGE_CURVE('',#785,#784,#456,.T.); -#982=EDGE_CURVE('',#766,#785,#457,.T.); -#983=EDGE_CURVE('',#775,#786,#458,.T.); -#984=EDGE_CURVE('',#786,#784,#154,.T.); -#985=EDGE_CURVE('',#787,#777,#459,.T.); -#986=EDGE_CURVE('',#788,#787,#155,.T.); -#987=EDGE_CURVE('',#789,#788,#460,.T.); -#988=EDGE_CURVE('',#786,#789,#156,.T.); -#989=EDGE_CURVE('',#783,#790,#461,.T.); -#990=EDGE_CURVE('',#787,#790,#157,.T.); -#991=EDGE_CURVE('',#791,#754,#462,.T.); -#992=EDGE_CURVE('',#792,#791,#463,.T.); -#993=EDGE_CURVE('',#790,#792,#464,.T.); -#994=EDGE_CURVE('',#791,#757,#158,.T.); -#995=EDGE_CURVE('',#793,#781,#465,.T.); -#996=EDGE_CURVE('',#794,#793,#466,.T.); -#997=EDGE_CURVE('',#794,#751,#467,.T.); -#998=EDGE_CURVE('',#789,#795,#58,.T.); -#999=EDGE_CURVE('',#795,#784,#159,.T.); -#1000=EDGE_CURVE('',#795,#796,#468,.T.); -#1001=EDGE_CURVE('',#796,#785,#160,.T.); -#1002=EDGE_CURVE('',#742,#785,#161,.T.); -#1003=EDGE_CURVE('',#796,#762,#162,.T.); -#1004=EDGE_CURVE('',#763,#730,#163,.T.); -#1005=EDGE_CURVE('',#797,#788,#59,.T.); -#1006=EDGE_CURVE('',#760,#797,#469,.T.); -#1007=EDGE_CURVE('',#798,#759,#470,.T.); -#1008=EDGE_CURVE('',#798,#799,#471,.T.); -#1009=EDGE_CURVE('',#799,#800,#472,.T.); -#1010=EDGE_CURVE('',#801,#800,#473,.T.); -#1011=EDGE_CURVE('',#801,#731,#474,.T.); -#1012=EDGE_CURVE('',#790,#797,#164,.T.); -#1013=EDGE_CURVE('',#802,#715,#475,.T.); -#1014=EDGE_CURVE('',#802,#773,#476,.T.); -#1015=EDGE_CURVE('',#792,#760,#165,.T.); -#1016=EDGE_CURVE('',#791,#761,#166,.T.); -#1017=EDGE_CURVE('',#803,#802,#477,.T.); -#1018=EDGE_CURVE('',#714,#803,#478,.T.); -#1019=EDGE_CURVE('',#713,#804,#479,.F.); -#1020=EDGE_CURVE('',#712,#805,#480,.F.); -#1021=EDGE_CURVE('',#799,#805,#481,.T.); -#1022=EDGE_CURVE('',#804,#798,#167,.T.); -#1023=EDGE_CURVE('',#707,#806,#482,.T.); -#1024=EDGE_CURVE('',#806,#807,#483,.T.); -#1025=EDGE_CURVE('',#807,#808,#484,.T.); -#1026=EDGE_CURVE('',#808,#809,#485,.T.); -#1027=EDGE_CURVE('',#809,#810,#486,.T.); -#1028=EDGE_CURVE('',#811,#810,#487,.T.); -#1029=EDGE_CURVE('',#811,#812,#488,.T.); -#1030=EDGE_CURVE('',#764,#812,#168,.T.); -#1031=EDGE_CURVE('',#748,#813,#169,.T.); -#1032=EDGE_CURVE('',#813,#708,#489,.T.); -#1033=EDGE_CURVE('',#814,#741,#170,.T.); -#1034=EDGE_CURVE('',#814,#815,#490,.T.); -#1035=EDGE_CURVE('',#815,#816,#491,.T.); -#1036=EDGE_CURVE('',#816,#817,#492,.T.); -#1037=EDGE_CURVE('',#817,#818,#493,.T.); -#1038=EDGE_CURVE('',#706,#818,#494,.T.); -#1039=EDGE_CURVE('',#702,#819,#495,.T.); -#1040=EDGE_CURVE('',#806,#819,#496,.T.); -#1041=EDGE_CURVE('',#820,#705,#497,.T.); -#1042=EDGE_CURVE('',#819,#820,#498,.T.); -#1043=EDGE_CURVE('',#820,#818,#499,.T.); -#1044=EDGE_CURVE('',#821,#822,#500,.T.); -#1045=EDGE_CURVE('',#822,#794,#171,.T.); -#1046=EDGE_CURVE('',#821,#793,#501,.T.); -#1047=EDGE_CURVE('',#812,#823,#502,.T.); -#1048=EDGE_CURVE('',#823,#765,#172,.T.); -#1049=EDGE_CURVE('',#804,#822,#503,.T.); -#1050=EDGE_CURVE('',#824,#821,#504,.T.); -#1051=EDGE_CURVE('',#824,#825,#505,.T.); -#1052=EDGE_CURVE('',#825,#803,#506,.T.); -#1053=EDGE_CURVE('',#758,#813,#507,.T.); -#1054=EDGE_CURVE('',#732,#826,#508,.T.); -#1055=EDGE_CURVE('',#827,#826,#509,.T.); -#1056=EDGE_CURVE('',#827,#805,#510,.T.); -#1057=EDGE_CURVE('',#770,#825,#511,.T.); -#1058=EDGE_CURVE('',#824,#780,#512,.T.); -#1059=EDGE_CURVE('',#800,#827,#513,.T.); -#1060=EDGE_CURVE('',#826,#801,#514,.T.); -#1061=EDGE_CURVE('',#727,#815,#515,.T.); -#1062=EDGE_CURVE('',#816,#729,#516,.T.); -#1063=EDGE_CURVE('',#828,#829,#517,.T.); -#1064=EDGE_CURVE('',#728,#828,#518,.T.); -#1065=EDGE_CURVE('',#829,#724,#519,.T.); -#1066=EDGE_CURVE('',#721,#830,#520,.T.); -#1067=EDGE_CURVE('',#829,#830,#521,.T.); -#1068=EDGE_CURVE('',#831,#814,#522,.T.); -#1069=EDGE_CURVE('',#823,#831,#523,.T.); -#1070=EDGE_CURVE('',#718,#811,#524,.T.); -#1071=EDGE_CURVE('',#810,#722,#525,.T.); -#1072=EDGE_CURVE('',#832,#817,#526,.T.); -#1073=EDGE_CURVE('',#833,#832,#527,.T.); -#1074=EDGE_CURVE('',#833,#807,#528,.T.); -#1075=EDGE_CURVE('',#830,#834,#529,.T.); -#1076=EDGE_CURVE('',#834,#723,#530,.T.); -#1077=EDGE_CURVE('',#834,#828,#531,.T.); -#1078=EDGE_CURVE('',#740,#831,#173,.T.); -#1079=EDGE_CURVE('',#831,#739,#174,.T.); -#1080=EDGE_CURVE('',#746,#823,#175,.T.); -#1081=EDGE_CURVE('',#835,#833,#532,.T.); -#1082=EDGE_CURVE('',#835,#808,#533,.T.); -#1083=EDGE_CURVE('',#836,#835,#534,.T.); -#1084=EDGE_CURVE('',#836,#809,#535,.T.); -#1085=EDGE_CURVE('',#832,#836,#536,.T.); -#1086=ORIENTED_EDGE('',*,*,#837,.T.); -#1087=ORIENTED_EDGE('',*,*,#838,.T.); -#1088=ORIENTED_EDGE('',*,*,#839,.F.); -#1089=ORIENTED_EDGE('',*,*,#840,.F.); -#1090=ORIENTED_EDGE('',*,*,#841,.T.); -#1091=ORIENTED_EDGE('',*,*,#840,.T.); -#1092=ORIENTED_EDGE('',*,*,#842,.F.); -#1093=ORIENTED_EDGE('',*,*,#843,.F.); -#1094=ORIENTED_EDGE('',*,*,#844,.T.); -#1095=ORIENTED_EDGE('',*,*,#843,.T.); -#1096=ORIENTED_EDGE('',*,*,#845,.F.); -#1097=ORIENTED_EDGE('',*,*,#846,.F.); -#1098=ORIENTED_EDGE('',*,*,#847,.T.); -#1099=ORIENTED_EDGE('',*,*,#846,.T.); -#1100=ORIENTED_EDGE('',*,*,#848,.F.); -#1101=ORIENTED_EDGE('',*,*,#838,.F.); -#1102=ORIENTED_EDGE('',*,*,#848,.T.); -#1103=ORIENTED_EDGE('',*,*,#845,.T.); -#1104=ORIENTED_EDGE('',*,*,#842,.T.); -#1105=ORIENTED_EDGE('',*,*,#839,.T.); -#1106=ORIENTED_EDGE('',*,*,#847,.F.); -#1107=ORIENTED_EDGE('',*,*,#837,.F.); -#1108=ORIENTED_EDGE('',*,*,#841,.F.); -#1109=ORIENTED_EDGE('',*,*,#844,.F.); -#1110=ORIENTED_EDGE('',*,*,#849,.F.); -#1111=ORIENTED_EDGE('',*,*,#850,.F.); -#1112=ORIENTED_EDGE('',*,*,#851,.T.); -#1113=ORIENTED_EDGE('',*,*,#852,.T.); -#1114=ORIENTED_EDGE('',*,*,#850,.T.); -#1115=ORIENTED_EDGE('',*,*,#853,.T.); -#1116=ORIENTED_EDGE('',*,*,#852,.F.); -#1117=ORIENTED_EDGE('',*,*,#853,.F.); -#1118=ORIENTED_EDGE('',*,*,#849,.T.); -#1119=ORIENTED_EDGE('',*,*,#854,.T.); -#1120=ORIENTED_EDGE('',*,*,#851,.F.); -#1121=ORIENTED_EDGE('',*,*,#854,.F.); -#1122=ORIENTED_EDGE('',*,*,#855,.F.); -#1123=ORIENTED_EDGE('',*,*,#856,.F.); -#1124=ORIENTED_EDGE('',*,*,#857,.T.); -#1125=ORIENTED_EDGE('',*,*,#858,.T.); -#1126=ORIENTED_EDGE('',*,*,#856,.T.); -#1127=ORIENTED_EDGE('',*,*,#859,.T.); -#1128=ORIENTED_EDGE('',*,*,#858,.F.); -#1129=ORIENTED_EDGE('',*,*,#859,.F.); -#1130=ORIENTED_EDGE('',*,*,#855,.T.); -#1131=ORIENTED_EDGE('',*,*,#860,.T.); -#1132=ORIENTED_EDGE('',*,*,#857,.F.); -#1133=ORIENTED_EDGE('',*,*,#860,.F.); -#1134=ORIENTED_EDGE('',*,*,#861,.F.); -#1135=ORIENTED_EDGE('',*,*,#862,.F.); -#1136=ORIENTED_EDGE('',*,*,#863,.T.); -#1137=ORIENTED_EDGE('',*,*,#864,.T.); -#1138=ORIENTED_EDGE('',*,*,#862,.T.); -#1139=ORIENTED_EDGE('',*,*,#865,.T.); -#1140=ORIENTED_EDGE('',*,*,#864,.F.); -#1141=ORIENTED_EDGE('',*,*,#865,.F.); -#1142=ORIENTED_EDGE('',*,*,#861,.T.); -#1143=ORIENTED_EDGE('',*,*,#866,.T.); -#1144=ORIENTED_EDGE('',*,*,#863,.F.); -#1145=ORIENTED_EDGE('',*,*,#866,.F.); -#1146=ORIENTED_EDGE('',*,*,#867,.F.); -#1147=ORIENTED_EDGE('',*,*,#868,.F.); -#1148=ORIENTED_EDGE('',*,*,#869,.T.); -#1149=ORIENTED_EDGE('',*,*,#870,.T.); -#1150=ORIENTED_EDGE('',*,*,#868,.T.); -#1151=ORIENTED_EDGE('',*,*,#871,.T.); -#1152=ORIENTED_EDGE('',*,*,#870,.F.); -#1153=ORIENTED_EDGE('',*,*,#871,.F.); -#1154=ORIENTED_EDGE('',*,*,#867,.T.); -#1155=ORIENTED_EDGE('',*,*,#872,.T.); -#1156=ORIENTED_EDGE('',*,*,#869,.F.); -#1157=ORIENTED_EDGE('',*,*,#872,.F.); -#1158=ORIENTED_EDGE('',*,*,#873,.T.); -#1159=ORIENTED_EDGE('',*,*,#874,.T.); -#1160=ORIENTED_EDGE('',*,*,#875,.T.); -#1161=ORIENTED_EDGE('',*,*,#876,.T.); -#1162=ORIENTED_EDGE('',*,*,#877,.F.); -#1163=ORIENTED_EDGE('',*,*,#874,.F.); -#1164=ORIENTED_EDGE('',*,*,#878,.F.); -#1165=ORIENTED_EDGE('',*,*,#879,.F.); -#1166=ORIENTED_EDGE('',*,*,#880,.T.); -#1167=ORIENTED_EDGE('',*,*,#881,.F.); -#1168=ORIENTED_EDGE('',*,*,#882,.F.); -#1169=ORIENTED_EDGE('',*,*,#883,.T.); -#1170=ORIENTED_EDGE('',*,*,#884,.T.); -#1171=ORIENTED_EDGE('',*,*,#885,.F.); -#1172=ORIENTED_EDGE('',*,*,#886,.F.); -#1173=ORIENTED_EDGE('',*,*,#887,.F.); -#1174=ORIENTED_EDGE('',*,*,#888,.T.); -#1175=ORIENTED_EDGE('',*,*,#889,.T.); -#1176=ORIENTED_EDGE('',*,*,#890,.T.); -#1177=ORIENTED_EDGE('',*,*,#891,.T.); -#1178=ORIENTED_EDGE('',*,*,#892,.T.); -#1179=ORIENTED_EDGE('',*,*,#893,.T.); -#1180=ORIENTED_EDGE('',*,*,#891,.F.); -#1181=ORIENTED_EDGE('',*,*,#894,.T.); -#1182=ORIENTED_EDGE('',*,*,#895,.F.); -#1183=ORIENTED_EDGE('',*,*,#896,.F.); -#1184=ORIENTED_EDGE('',*,*,#897,.T.); -#1185=ORIENTED_EDGE('',*,*,#898,.T.); -#1186=ORIENTED_EDGE('',*,*,#899,.T.); -#1187=ORIENTED_EDGE('',*,*,#900,.T.); -#1188=ORIENTED_EDGE('',*,*,#898,.F.); -#1189=ORIENTED_EDGE('',*,*,#901,.F.); -#1190=ORIENTED_EDGE('',*,*,#902,.F.); -#1191=ORIENTED_EDGE('',*,*,#903,.F.); -#1192=ORIENTED_EDGE('',*,*,#904,.T.); -#1193=ORIENTED_EDGE('',*,*,#905,.T.); -#1194=ORIENTED_EDGE('',*,*,#906,.T.); -#1195=ORIENTED_EDGE('',*,*,#907,.F.); -#1196=ORIENTED_EDGE('',*,*,#882,.T.); -#1197=ORIENTED_EDGE('',*,*,#908,.T.); -#1198=ORIENTED_EDGE('',*,*,#909,.T.); -#1199=ORIENTED_EDGE('',*,*,#910,.T.); -#1200=ORIENTED_EDGE('',*,*,#911,.T.); -#1201=ORIENTED_EDGE('',*,*,#912,.T.); -#1202=ORIENTED_EDGE('',*,*,#908,.F.); -#1203=ORIENTED_EDGE('',*,*,#913,.T.); -#1204=ORIENTED_EDGE('',*,*,#888,.F.); -#1205=ORIENTED_EDGE('',*,*,#914,.T.); -#1206=ORIENTED_EDGE('',*,*,#911,.F.); -#1207=ORIENTED_EDGE('',*,*,#915,.T.); -#1208=ORIENTED_EDGE('',*,*,#916,.T.); -#1209=ORIENTED_EDGE('',*,*,#915,.F.); -#1210=ORIENTED_EDGE('',*,*,#917,.T.); -#1211=ORIENTED_EDGE('',*,*,#918,.F.); -#1212=ORIENTED_EDGE('',*,*,#919,.T.); -#1213=ORIENTED_EDGE('',*,*,#920,.F.); -#1214=ORIENTED_EDGE('',*,*,#913,.F.); -#1215=ORIENTED_EDGE('',*,*,#910,.F.); -#1216=ORIENTED_EDGE('',*,*,#921,.F.); -#1217=ORIENTED_EDGE('',*,*,#922,.T.); -#1218=ORIENTED_EDGE('',*,*,#923,.F.); -#1219=ORIENTED_EDGE('',*,*,#924,.F.); -#1220=ORIENTED_EDGE('',*,*,#925,.F.); -#1221=ORIENTED_EDGE('',*,*,#926,.F.); -#1222=ORIENTED_EDGE('',*,*,#917,.F.); -#1223=ORIENTED_EDGE('',*,*,#927,.F.); -#1224=ORIENTED_EDGE('',*,*,#928,.T.); -#1225=ORIENTED_EDGE('',*,*,#929,.T.); -#1226=ORIENTED_EDGE('',*,*,#930,.T.); -#1227=ORIENTED_EDGE('',*,*,#931,.T.); -#1228=ORIENTED_EDGE('',*,*,#929,.F.); -#1229=ORIENTED_EDGE('',*,*,#932,.T.); -#1230=ORIENTED_EDGE('',*,*,#933,.T.); -#1231=ORIENTED_EDGE('',*,*,#934,.T.); -#1232=ORIENTED_EDGE('',*,*,#935,.F.); -#1233=ORIENTED_EDGE('',*,*,#936,.T.); -#1234=ORIENTED_EDGE('',*,*,#933,.F.); -#1235=ORIENTED_EDGE('',*,*,#924,.T.); -#1236=ORIENTED_EDGE('',*,*,#937,.F.); -#1237=ORIENTED_EDGE('',*,*,#938,.F.); -#1238=ORIENTED_EDGE('',*,*,#939,.F.); -#1239=ORIENTED_EDGE('',*,*,#940,.F.); -#1240=ORIENTED_EDGE('',*,*,#938,.T.); -#1241=ORIENTED_EDGE('',*,*,#941,.F.); -#1242=ORIENTED_EDGE('',*,*,#942,.F.); -#1243=ORIENTED_EDGE('',*,*,#943,.T.); -#1244=ORIENTED_EDGE('',*,*,#944,.F.); -#1245=ORIENTED_EDGE('',*,*,#945,.F.); -#1246=ORIENTED_EDGE('',*,*,#937,.T.); -#1247=ORIENTED_EDGE('',*,*,#923,.T.); -#1248=ORIENTED_EDGE('',*,*,#946,.T.); -#1249=ORIENTED_EDGE('',*,*,#947,.T.); -#1250=ORIENTED_EDGE('',*,*,#948,.T.); -#1251=ORIENTED_EDGE('',*,*,#949,.T.); -#1252=ORIENTED_EDGE('',*,*,#941,.T.); -#1253=ORIENTED_EDGE('',*,*,#932,.F.); -#1254=ORIENTED_EDGE('',*,*,#928,.F.); -#1255=ORIENTED_EDGE('',*,*,#950,.F.); -#1256=ORIENTED_EDGE('',*,*,#951,.F.); -#1257=ORIENTED_EDGE('',*,*,#952,.F.); -#1258=ORIENTED_EDGE('',*,*,#925,.T.); -#1259=ORIENTED_EDGE('',*,*,#953,.T.); -#1260=ORIENTED_EDGE('',*,*,#954,.T.); -#1261=ORIENTED_EDGE('',*,*,#955,.T.); -#1262=ORIENTED_EDGE('',*,*,#956,.T.); -#1263=ORIENTED_EDGE('',*,*,#909,.F.); -#1264=ORIENTED_EDGE('',*,*,#957,.T.); -#1265=ORIENTED_EDGE('',*,*,#953,.F.); -#1266=ORIENTED_EDGE('',*,*,#958,.T.); -#1267=ORIENTED_EDGE('',*,*,#959,.T.); -#1268=ORIENTED_EDGE('',*,*,#960,.T.); -#1269=ORIENTED_EDGE('',*,*,#961,.T.); -#1270=ORIENTED_EDGE('',*,*,#955,.F.); -#1271=ORIENTED_EDGE('',*,*,#962,.T.); -#1272=ORIENTED_EDGE('',*,*,#963,.T.); -#1273=ORIENTED_EDGE('',*,*,#964,.T.); -#1274=ORIENTED_EDGE('',*,*,#965,.T.); -#1275=ORIENTED_EDGE('',*,*,#966,.T.); -#1276=ORIENTED_EDGE('',*,*,#960,.F.); -#1277=ORIENTED_EDGE('',*,*,#967,.T.); -#1278=ORIENTED_EDGE('',*,*,#968,.T.); -#1279=ORIENTED_EDGE('',*,*,#969,.T.); -#1280=ORIENTED_EDGE('',*,*,#965,.F.); -#1281=ORIENTED_EDGE('',*,*,#970,.T.); -#1282=ORIENTED_EDGE('',*,*,#971,.T.); -#1283=ORIENTED_EDGE('',*,*,#972,.T.); -#1284=ORIENTED_EDGE('',*,*,#968,.F.); -#1285=ORIENTED_EDGE('',*,*,#973,.T.); -#1286=ORIENTED_EDGE('',*,*,#974,.T.); -#1287=ORIENTED_EDGE('',*,*,#975,.T.); -#1288=ORIENTED_EDGE('',*,*,#976,.T.); -#1289=ORIENTED_EDGE('',*,*,#971,.F.); -#1290=ORIENTED_EDGE('',*,*,#977,.T.); -#1291=ORIENTED_EDGE('',*,*,#936,.F.); -#1292=ORIENTED_EDGE('',*,*,#978,.T.); -#1293=ORIENTED_EDGE('',*,*,#975,.F.); -#1294=ORIENTED_EDGE('',*,*,#979,.T.); -#1295=ORIENTED_EDGE('',*,*,#934,.F.); -#1296=ORIENTED_EDGE('',*,*,#956,.F.); -#1297=ORIENTED_EDGE('',*,*,#980,.F.); -#1298=ORIENTED_EDGE('',*,*,#981,.F.); -#1299=ORIENTED_EDGE('',*,*,#982,.F.); -#1300=ORIENTED_EDGE('',*,*,#961,.F.); -#1301=ORIENTED_EDGE('',*,*,#966,.F.); -#1302=ORIENTED_EDGE('',*,*,#983,.T.); -#1303=ORIENTED_EDGE('',*,*,#984,.T.); -#1304=ORIENTED_EDGE('',*,*,#980,.T.); -#1305=ORIENTED_EDGE('',*,*,#969,.F.); -#1306=ORIENTED_EDGE('',*,*,#985,.F.); -#1307=ORIENTED_EDGE('',*,*,#986,.F.); -#1308=ORIENTED_EDGE('',*,*,#987,.F.); -#1309=ORIENTED_EDGE('',*,*,#988,.F.); -#1310=ORIENTED_EDGE('',*,*,#983,.F.); -#1311=ORIENTED_EDGE('',*,*,#972,.F.); -#1312=ORIENTED_EDGE('',*,*,#976,.F.); -#1313=ORIENTED_EDGE('',*,*,#989,.T.); -#1314=ORIENTED_EDGE('',*,*,#990,.F.); -#1315=ORIENTED_EDGE('',*,*,#985,.T.); -#1316=ORIENTED_EDGE('',*,*,#935,.T.); -#1317=ORIENTED_EDGE('',*,*,#991,.F.); -#1318=ORIENTED_EDGE('',*,*,#992,.F.); -#1319=ORIENTED_EDGE('',*,*,#993,.F.); -#1320=ORIENTED_EDGE('',*,*,#989,.F.); -#1321=ORIENTED_EDGE('',*,*,#978,.F.); -#1322=ORIENTED_EDGE('',*,*,#940,.T.); -#1323=ORIENTED_EDGE('',*,*,#994,.F.); -#1324=ORIENTED_EDGE('',*,*,#991,.T.); -#1325=ORIENTED_EDGE('',*,*,#930,.F.); -#1326=ORIENTED_EDGE('',*,*,#979,.F.); -#1327=ORIENTED_EDGE('',*,*,#974,.F.); -#1328=ORIENTED_EDGE('',*,*,#995,.F.); -#1329=ORIENTED_EDGE('',*,*,#996,.F.); -#1330=ORIENTED_EDGE('',*,*,#997,.T.); -#1331=ORIENTED_EDGE('',*,*,#998,.T.); -#1332=ORIENTED_EDGE('',*,*,#999,.T.); -#1333=ORIENTED_EDGE('',*,*,#984,.F.); -#1334=ORIENTED_EDGE('',*,*,#988,.T.); -#1335=ORIENTED_EDGE('',*,*,#999,.F.); -#1336=ORIENTED_EDGE('',*,*,#1000,.T.); -#1337=ORIENTED_EDGE('',*,*,#1001,.T.); -#1338=ORIENTED_EDGE('',*,*,#981,.T.); -#1339=ORIENTED_EDGE('',*,*,#922,.F.); -#1340=ORIENTED_EDGE('',*,*,#1002,.T.); -#1341=ORIENTED_EDGE('',*,*,#1001,.F.); -#1342=ORIENTED_EDGE('',*,*,#1003,.T.); -#1343=ORIENTED_EDGE('',*,*,#946,.F.); -#1344=ORIENTED_EDGE('',*,*,#905,.F.); -#1345=ORIENTED_EDGE('',*,*,#1004,.F.); -#1346=ORIENTED_EDGE('',*,*,#947,.F.); -#1347=ORIENTED_EDGE('',*,*,#1003,.F.); -#1348=ORIENTED_EDGE('',*,*,#1000,.F.); -#1349=ORIENTED_EDGE('',*,*,#998,.F.); -#1350=ORIENTED_EDGE('',*,*,#987,.T.); -#1351=ORIENTED_EDGE('',*,*,#1005,.F.); -#1352=ORIENTED_EDGE('',*,*,#1006,.F.); -#1353=ORIENTED_EDGE('',*,*,#943,.F.); -#1354=ORIENTED_EDGE('',*,*,#1007,.F.); -#1355=ORIENTED_EDGE('',*,*,#1008,.T.); -#1356=ORIENTED_EDGE('',*,*,#1009,.T.); -#1357=ORIENTED_EDGE('',*,*,#1010,.F.); -#1358=ORIENTED_EDGE('',*,*,#1011,.T.); -#1359=ORIENTED_EDGE('',*,*,#958,.F.); -#1360=ORIENTED_EDGE('',*,*,#982,.T.); -#1361=ORIENTED_EDGE('',*,*,#1002,.F.); -#1362=ORIENTED_EDGE('',*,*,#921,.T.); -#1363=ORIENTED_EDGE('',*,*,#990,.T.); -#1364=ORIENTED_EDGE('',*,*,#1012,.T.); -#1365=ORIENTED_EDGE('',*,*,#1005,.T.); -#1366=ORIENTED_EDGE('',*,*,#986,.T.); -#1367=ORIENTED_EDGE('',*,*,#887,.T.); -#1368=ORIENTED_EDGE('',*,*,#1013,.F.); -#1369=ORIENTED_EDGE('',*,*,#1014,.T.); -#1370=ORIENTED_EDGE('',*,*,#962,.F.); -#1371=ORIENTED_EDGE('',*,*,#954,.F.); -#1372=ORIENTED_EDGE('',*,*,#957,.F.); -#1373=ORIENTED_EDGE('',*,*,#912,.F.); -#1374=ORIENTED_EDGE('',*,*,#914,.F.); -#1375=ORIENTED_EDGE('',*,*,#1012,.F.); -#1376=ORIENTED_EDGE('',*,*,#993,.T.); -#1377=ORIENTED_EDGE('',*,*,#1015,.T.); -#1378=ORIENTED_EDGE('',*,*,#1006,.T.); -#1379=ORIENTED_EDGE('',*,*,#944,.T.); -#1380=ORIENTED_EDGE('',*,*,#1015,.F.); -#1381=ORIENTED_EDGE('',*,*,#992,.T.); -#1382=ORIENTED_EDGE('',*,*,#1016,.T.); -#1383=ORIENTED_EDGE('',*,*,#939,.T.); -#1384=ORIENTED_EDGE('',*,*,#945,.T.); -#1385=ORIENTED_EDGE('',*,*,#1016,.F.); -#1386=ORIENTED_EDGE('',*,*,#994,.T.); -#1387=ORIENTED_EDGE('',*,*,#1017,.T.); -#1388=ORIENTED_EDGE('',*,*,#1013,.T.); -#1389=ORIENTED_EDGE('',*,*,#886,.T.); -#1390=ORIENTED_EDGE('',*,*,#1018,.T.); -#1391=ORIENTED_EDGE('',*,*,#1019,.F.); -#1392=ORIENTED_EDGE('',*,*,#884,.F.); -#1393=ORIENTED_EDGE('',*,*,#1020,.T.); -#1394=ORIENTED_EDGE('',*,*,#1021,.F.); -#1395=ORIENTED_EDGE('',*,*,#1008,.F.); -#1396=ORIENTED_EDGE('',*,*,#1022,.F.); -#1397=ORIENTED_EDGE('',*,*,#879,.T.); -#1398=ORIENTED_EDGE('',*,*,#1023,.T.); -#1399=ORIENTED_EDGE('',*,*,#1024,.T.); -#1400=ORIENTED_EDGE('',*,*,#1025,.T.); -#1401=ORIENTED_EDGE('',*,*,#1026,.T.); -#1402=ORIENTED_EDGE('',*,*,#1027,.T.); -#1403=ORIENTED_EDGE('',*,*,#1028,.F.); -#1404=ORIENTED_EDGE('',*,*,#1029,.T.); -#1405=ORIENTED_EDGE('',*,*,#1030,.F.); -#1406=ORIENTED_EDGE('',*,*,#950,.T.); -#1407=ORIENTED_EDGE('',*,*,#927,.T.); -#1408=ORIENTED_EDGE('',*,*,#1031,.T.); -#1409=ORIENTED_EDGE('',*,*,#1032,.T.); -#1410=ORIENTED_EDGE('',*,*,#1033,.F.); -#1411=ORIENTED_EDGE('',*,*,#1034,.T.); -#1412=ORIENTED_EDGE('',*,*,#1035,.T.); -#1413=ORIENTED_EDGE('',*,*,#1036,.T.); -#1414=ORIENTED_EDGE('',*,*,#1037,.T.); -#1415=ORIENTED_EDGE('',*,*,#1038,.F.); -#1416=ORIENTED_EDGE('',*,*,#889,.F.); -#1417=ORIENTED_EDGE('',*,*,#916,.F.); -#1418=ORIENTED_EDGE('',*,*,#920,.T.); -#1419=ORIENTED_EDGE('',*,*,#878,.T.); -#1420=ORIENTED_EDGE('',*,*,#873,.F.); -#1421=ORIENTED_EDGE('',*,*,#1039,.T.); -#1422=ORIENTED_EDGE('',*,*,#1040,.F.); -#1423=ORIENTED_EDGE('',*,*,#1023,.F.); -#1424=ORIENTED_EDGE('',*,*,#876,.F.); -#1425=ORIENTED_EDGE('',*,*,#1041,.F.); -#1426=ORIENTED_EDGE('',*,*,#1042,.F.); -#1427=ORIENTED_EDGE('',*,*,#1039,.F.); -#1428=ORIENTED_EDGE('',*,*,#877,.T.); -#1429=ORIENTED_EDGE('',*,*,#1038,.T.); -#1430=ORIENTED_EDGE('',*,*,#1043,.F.); -#1431=ORIENTED_EDGE('',*,*,#1041,.T.); -#1432=ORIENTED_EDGE('',*,*,#875,.F.); -#1433=ORIENTED_EDGE('',*,*,#1044,.T.); -#1434=ORIENTED_EDGE('',*,*,#1045,.T.); -#1435=ORIENTED_EDGE('',*,*,#996,.T.); -#1436=ORIENTED_EDGE('',*,*,#1046,.F.); -#1437=ORIENTED_EDGE('',*,*,#951,.T.); -#1438=ORIENTED_EDGE('',*,*,#1030,.T.); -#1439=ORIENTED_EDGE('',*,*,#1047,.T.); -#1440=ORIENTED_EDGE('',*,*,#1048,.T.); -#1441=ORIENTED_EDGE('',*,*,#1049,.T.); -#1442=ORIENTED_EDGE('',*,*,#1044,.F.); -#1443=ORIENTED_EDGE('',*,*,#1050,.F.); -#1444=ORIENTED_EDGE('',*,*,#1051,.T.); -#1445=ORIENTED_EDGE('',*,*,#1052,.T.); -#1446=ORIENTED_EDGE('',*,*,#1018,.F.); -#1447=ORIENTED_EDGE('',*,*,#885,.T.); -#1448=ORIENTED_EDGE('',*,*,#1019,.T.); -#1449=ORIENTED_EDGE('',*,*,#1022,.T.); -#1450=ORIENTED_EDGE('',*,*,#1007,.T.); -#1451=ORIENTED_EDGE('',*,*,#942,.T.); -#1452=ORIENTED_EDGE('',*,*,#1053,.T.); -#1453=ORIENTED_EDGE('',*,*,#1031,.F.); -#1454=ORIENTED_EDGE('',*,*,#931,.F.); -#1455=ORIENTED_EDGE('',*,*,#997,.F.); -#1456=ORIENTED_EDGE('',*,*,#1045,.F.); -#1457=ORIENTED_EDGE('',*,*,#1049,.F.); -#1458=ORIENTED_EDGE('',*,*,#1004,.T.); -#1459=ORIENTED_EDGE('',*,*,#904,.F.); -#1460=ORIENTED_EDGE('',*,*,#881,.T.); -#1461=ORIENTED_EDGE('',*,*,#948,.F.); -#1462=ORIENTED_EDGE('',*,*,#883,.F.); -#1463=ORIENTED_EDGE('',*,*,#907,.T.); -#1464=ORIENTED_EDGE('',*,*,#1054,.T.); -#1465=ORIENTED_EDGE('',*,*,#1055,.F.); -#1466=ORIENTED_EDGE('',*,*,#1056,.T.); -#1467=ORIENTED_EDGE('',*,*,#1020,.F.); -#1468=ORIENTED_EDGE('',*,*,#963,.F.); -#1469=ORIENTED_EDGE('',*,*,#1014,.F.); -#1470=ORIENTED_EDGE('',*,*,#1017,.F.); -#1471=ORIENTED_EDGE('',*,*,#1052,.F.); -#1472=ORIENTED_EDGE('',*,*,#1057,.F.); -#1473=ORIENTED_EDGE('',*,*,#973,.F.); -#1474=ORIENTED_EDGE('',*,*,#1058,.F.); -#1475=ORIENTED_EDGE('',*,*,#1050,.T.); -#1476=ORIENTED_EDGE('',*,*,#1046,.T.); -#1477=ORIENTED_EDGE('',*,*,#995,.T.); -#1478=ORIENTED_EDGE('',*,*,#1010,.T.); -#1479=ORIENTED_EDGE('',*,*,#1059,.T.); -#1480=ORIENTED_EDGE('',*,*,#1055,.T.); -#1481=ORIENTED_EDGE('',*,*,#1060,.T.); -#1482=ORIENTED_EDGE('',*,*,#1060,.F.); -#1483=ORIENTED_EDGE('',*,*,#1054,.F.); -#1484=ORIENTED_EDGE('',*,*,#906,.F.); -#1485=ORIENTED_EDGE('',*,*,#1011,.F.); -#1486=ORIENTED_EDGE('',*,*,#959,.F.); -#1487=ORIENTED_EDGE('',*,*,#1057,.T.); -#1488=ORIENTED_EDGE('',*,*,#1051,.F.); -#1489=ORIENTED_EDGE('',*,*,#1058,.T.); -#1490=ORIENTED_EDGE('',*,*,#977,.F.); -#1491=ORIENTED_EDGE('',*,*,#970,.F.); -#1492=ORIENTED_EDGE('',*,*,#967,.F.); -#1493=ORIENTED_EDGE('',*,*,#964,.F.); -#1494=ORIENTED_EDGE('',*,*,#1059,.F.); -#1495=ORIENTED_EDGE('',*,*,#1009,.F.); -#1496=ORIENTED_EDGE('',*,*,#1021,.T.); -#1497=ORIENTED_EDGE('',*,*,#1056,.F.); -#1498=ORIENTED_EDGE('',*,*,#1035,.F.); -#1499=ORIENTED_EDGE('',*,*,#1061,.F.); -#1500=ORIENTED_EDGE('',*,*,#899,.F.); -#1501=ORIENTED_EDGE('',*,*,#903,.T.); -#1502=ORIENTED_EDGE('',*,*,#1062,.F.); -#1503=ORIENTED_EDGE('',*,*,#1063,.F.); -#1504=ORIENTED_EDGE('',*,*,#1064,.F.); -#1505=ORIENTED_EDGE('',*,*,#901,.T.); -#1506=ORIENTED_EDGE('',*,*,#897,.F.); -#1507=ORIENTED_EDGE('',*,*,#1065,.F.); -#1508=ORIENTED_EDGE('',*,*,#1066,.T.); -#1509=ORIENTED_EDGE('',*,*,#1067,.F.); -#1510=ORIENTED_EDGE('',*,*,#1065,.T.); -#1511=ORIENTED_EDGE('',*,*,#900,.F.); -#1512=ORIENTED_EDGE('',*,*,#1061,.T.); -#1513=ORIENTED_EDGE('',*,*,#1034,.F.); -#1514=ORIENTED_EDGE('',*,*,#1068,.F.); -#1515=ORIENTED_EDGE('',*,*,#1069,.F.); -#1516=ORIENTED_EDGE('',*,*,#1047,.F.); -#1517=ORIENTED_EDGE('',*,*,#1029,.F.); -#1518=ORIENTED_EDGE('',*,*,#1070,.F.); -#1519=ORIENTED_EDGE('',*,*,#893,.F.); -#1520=ORIENTED_EDGE('',*,*,#1028,.T.); -#1521=ORIENTED_EDGE('',*,*,#1071,.T.); -#1522=ORIENTED_EDGE('',*,*,#894,.F.); -#1523=ORIENTED_EDGE('',*,*,#890,.F.); -#1524=ORIENTED_EDGE('',*,*,#1070,.T.); -#1525=ORIENTED_EDGE('',*,*,#1043,.T.); -#1526=ORIENTED_EDGE('',*,*,#1037,.F.); -#1527=ORIENTED_EDGE('',*,*,#1072,.F.); -#1528=ORIENTED_EDGE('',*,*,#1073,.F.); -#1529=ORIENTED_EDGE('',*,*,#1074,.T.); -#1530=ORIENTED_EDGE('',*,*,#1024,.F.); -#1531=ORIENTED_EDGE('',*,*,#1040,.T.); -#1532=ORIENTED_EDGE('',*,*,#1042,.T.); -#1533=ORIENTED_EDGE('',*,*,#1075,.F.); -#1534=ORIENTED_EDGE('',*,*,#1066,.F.); -#1535=ORIENTED_EDGE('',*,*,#892,.F.); -#1536=ORIENTED_EDGE('',*,*,#896,.T.); -#1537=ORIENTED_EDGE('',*,*,#1076,.F.); -#1538=ORIENTED_EDGE('',*,*,#1063,.T.); -#1539=ORIENTED_EDGE('',*,*,#1067,.T.); -#1540=ORIENTED_EDGE('',*,*,#1075,.T.); -#1541=ORIENTED_EDGE('',*,*,#1077,.T.); -#1542=ORIENTED_EDGE('',*,*,#1053,.F.); -#1543=ORIENTED_EDGE('',*,*,#949,.F.); -#1544=ORIENTED_EDGE('',*,*,#880,.F.); -#1545=ORIENTED_EDGE('',*,*,#1032,.F.); -#1546=ORIENTED_EDGE('',*,*,#1068,.T.); -#1547=ORIENTED_EDGE('',*,*,#1033,.T.); -#1548=ORIENTED_EDGE('',*,*,#919,.F.); -#1549=ORIENTED_EDGE('',*,*,#1078,.T.); -#1550=ORIENTED_EDGE('',*,*,#1079,.T.); -#1551=ORIENTED_EDGE('',*,*,#926,.T.); -#1552=ORIENTED_EDGE('',*,*,#1080,.T.); -#1553=ORIENTED_EDGE('',*,*,#1069,.T.); -#1554=ORIENTED_EDGE('',*,*,#1048,.F.); -#1555=ORIENTED_EDGE('',*,*,#1080,.F.); -#1556=ORIENTED_EDGE('',*,*,#952,.T.); -#1557=ORIENTED_EDGE('',*,*,#1079,.F.); -#1558=ORIENTED_EDGE('',*,*,#1078,.F.); -#1559=ORIENTED_EDGE('',*,*,#918,.T.); -#1560=ORIENTED_EDGE('',*,*,#1025,.F.); -#1561=ORIENTED_EDGE('',*,*,#1074,.F.); -#1562=ORIENTED_EDGE('',*,*,#1081,.F.); -#1563=ORIENTED_EDGE('',*,*,#1082,.T.); -#1564=ORIENTED_EDGE('',*,*,#1083,.F.); -#1565=ORIENTED_EDGE('',*,*,#1084,.T.); -#1566=ORIENTED_EDGE('',*,*,#1026,.F.); -#1567=ORIENTED_EDGE('',*,*,#1082,.F.); -#1568=ORIENTED_EDGE('',*,*,#1064,.T.); -#1569=ORIENTED_EDGE('',*,*,#1077,.F.); -#1570=ORIENTED_EDGE('',*,*,#1076,.T.); -#1571=ORIENTED_EDGE('',*,*,#895,.T.); -#1572=ORIENTED_EDGE('',*,*,#1071,.F.); -#1573=ORIENTED_EDGE('',*,*,#1027,.F.); -#1574=ORIENTED_EDGE('',*,*,#1084,.F.); -#1575=ORIENTED_EDGE('',*,*,#1085,.F.); -#1576=ORIENTED_EDGE('',*,*,#1072,.T.); -#1577=ORIENTED_EDGE('',*,*,#1036,.F.); -#1578=ORIENTED_EDGE('',*,*,#1062,.T.); -#1579=ORIENTED_EDGE('',*,*,#902,.T.); -#1580=ORIENTED_EDGE('',*,*,#1085,.T.); -#1581=ORIENTED_EDGE('',*,*,#1083,.T.); -#1582=ORIENTED_EDGE('',*,*,#1081,.T.); -#1583=ORIENTED_EDGE('',*,*,#1073,.T.); -#1584=PLANE('',#1744); -#1585=PLANE('',#1745); -#1586=PLANE('',#1746); -#1587=PLANE('',#1747); -#1588=PLANE('',#1748); -#1589=PLANE('',#1749); -#1590=PLANE('',#1750); -#1591=PLANE('',#1753); -#1592=PLANE('',#1758); -#1593=PLANE('',#1761); -#1594=PLANE('',#1766); -#1595=PLANE('',#1769); -#1596=PLANE('',#1774); -#1597=PLANE('',#1777); -#1598=PLANE('',#1791); -#1599=PLANE('',#1792); -#1600=PLANE('',#1793); -#1601=PLANE('',#1794); -#1602=PLANE('',#1806); -#1603=PLANE('',#1847); -#1604=PLANE('',#1850); -#1605=PLANE('',#1855); -#1606=PLANE('',#1858); -#1607=PLANE('',#1866); -#1608=PLANE('',#1871); -#1609=PLANE('',#1877); -#1610=PLANE('',#1878); -#1611=PLANE('',#1880); -#1612=PLANE('',#1883); -#1613=PLANE('',#1885); -#1614=PLANE('',#1886); -#1615=PLANE('',#1887); -#1616=PLANE('',#1888); -#1617=PLANE('',#1892); -#1618=PLANE('',#1895); -#1619=PLANE('',#1896); -#1620=PLANE('',#1897); -#1621=PLANE('',#1898); -#1622=PLANE('',#1899); -#1623=PLANE('',#1900); -#1624=PLANE('',#1901); -#1625=PLANE('',#1902); -#1626=PLANE('',#1903); -#1627=PLANE('',#1904); -#1628=PLANE('',#1905); -#1629=PLANE('',#1906); -#1630=PLANE('',#1907); -#1631=PLANE('',#1908); -#1632=PLANE('',#1909); -#1633=PLANE('',#1917); -#1634=PLANE('',#1918); -#1635=PLANE('',#1919); -#1636=PLANE('',#1920); -#1637=ADVANCED_FACE('',(#188),#1584,.T.); -#1638=ADVANCED_FACE('',(#189),#1585,.T.); -#1639=ADVANCED_FACE('',(#190),#1586,.T.); -#1640=ADVANCED_FACE('',(#191),#1587,.T.); -#1641=ADVANCED_FACE('',(#192),#1588,.T.); -#1642=ADVANCED_FACE('',(#193),#1589,.F.); -#1643=ADVANCED_FACE('',(#194,#91),#1590,.T.); -#1644=ADVANCED_FACE('',(#195,#92),#1591,.F.); -#1645=ADVANCED_FACE('',(#196),#63,.F.); -#1646=ADVANCED_FACE('',(#197),#64,.T.); -#1647=ADVANCED_FACE('',(#198,#93),#1592,.T.); -#1648=ADVANCED_FACE('',(#199,#94),#1593,.F.); -#1649=ADVANCED_FACE('',(#200),#65,.F.); -#1650=ADVANCED_FACE('',(#201),#66,.T.); -#1651=ADVANCED_FACE('',(#202,#95),#1594,.T.); -#1652=ADVANCED_FACE('',(#203,#96),#1595,.F.); -#1653=ADVANCED_FACE('',(#204),#67,.F.); -#1654=ADVANCED_FACE('',(#205),#68,.T.); -#1655=ADVANCED_FACE('',(#206,#97),#1596,.T.); -#1656=ADVANCED_FACE('',(#207,#98),#1597,.F.); -#1657=ADVANCED_FACE('',(#208),#69,.F.); -#1658=ADVANCED_FACE('',(#209),#70,.T.); -#1659=ADVANCED_FACE('',(#210),#62,.F.); -#1660=ADVANCED_FACE('',(#211),#71,.F.); -#1661=ADVANCED_FACE('',(#212),#1598,.T.); -#1662=ADVANCED_FACE('',(#213),#1599,.F.); -#1663=ADVANCED_FACE('',(#214),#1600,.T.); -#1664=ADVANCED_FACE('',(#215),#1601,.F.); -#1665=ADVANCED_FACE('',(#216),#72,.T.); -#1666=ADVANCED_FACE('',(#217),#31,.T.); -#1667=ADVANCED_FACE('',(#218),#73,.F.); -#1668=ADVANCED_FACE('',(#219),#19,.F.); -#1669=ADVANCED_FACE('',(#220),#74,.F.); -#1670=ADVANCED_FACE('',(#221),#1602,.T.); -#1671=ADVANCED_FACE('',(#222),#20,.F.); -#1672=ADVANCED_FACE('',(#223),#32,.T.); -#1673=ADVANCED_FACE('',(#224),#21,.F.); -#1674=ADVANCED_FACE('',(#225),#13,.F.); -#1675=ADVANCED_FACE('',(#226),#75,.F.); -#1676=ADVANCED_FACE('',(#227),#76,.F.); -#1677=ADVANCED_FACE('',(#228),#77,.T.); -#1678=ADVANCED_FACE('',(#229),#22,.T.); -#1679=ADVANCED_FACE('',(#230),#23,.T.); -#1680=ADVANCED_FACE('',(#231),#24,.T.); -#1681=ADVANCED_FACE('',(#232),#78,.T.); -#1682=ADVANCED_FACE('',(#233),#25,.T.); -#1683=ADVANCED_FACE('',(#234),#26,.T.); -#1684=ADVANCED_FACE('',(#235),#79,.T.); -#1685=ADVANCED_FACE('',(#236),#1603,.T.); -#1686=ADVANCED_FACE('',(#237),#80,.F.); -#1687=ADVANCED_FACE('',(#238),#1604,.T.); -#1688=ADVANCED_FACE('',(#239),#81,.F.); -#1689=ADVANCED_FACE('',(#240),#1605,.T.); -#1690=ADVANCED_FACE('',(#241),#82,.F.); -#1691=ADVANCED_FACE('',(#242),#1606,.T.); -#1692=ADVANCED_FACE('',(#243),#27,.F.); -#1693=ADVANCED_FACE('',(#244),#83,.T.); -#1694=ADVANCED_FACE('',(#245),#28,.T.); -#1695=ADVANCED_FACE('',(#246),#1607,.T.); -#1696=ADVANCED_FACE('',(#247),#84,.F.); -#1697=ADVANCED_FACE('',(#248),#29,.F.); -#1698=ADVANCED_FACE('',(#249),#1608,.T.); -#1699=ADVANCED_FACE('',(#250),#85,.T.); -#1700=ADVANCED_FACE('',(#251),#86,.T.); -#1701=ADVANCED_FACE('',(#252),#30,.T.); -#1702=ADVANCED_FACE('',(#253),#1609,.T.); -#1703=ADVANCED_FACE('',(#254),#1610,.F.); -#1704=ADVANCED_FACE('',(#255),#1611,.T.); -#1705=ADVANCED_FACE('',(#256),#1612,.T.); -#1706=ADVANCED_FACE('',(#257),#1613,.F.); -#1707=ADVANCED_FACE('',(#258),#1614,.F.); -#1708=ADVANCED_FACE('',(#259),#1615,.F.); -#1709=ADVANCED_FACE('',(#260),#1616,.T.); -#1710=ADVANCED_FACE('',(#261),#87,.F.); -#1711=ADVANCED_FACE('',(#262),#1617,.F.); -#1712=ADVANCED_FACE('',(#263),#88,.F.); -#1713=ADVANCED_FACE('',(#264),#14,.F.); -#1714=ADVANCED_FACE('',(#265),#1618,.F.); -#1715=ADVANCED_FACE('',(#266),#1619,.F.); -#1716=ADVANCED_FACE('',(#267),#1620,.T.); -#1717=ADVANCED_FACE('',(#268),#1621,.T.); -#1718=ADVANCED_FACE('',(#269),#1622,.F.); -#1719=ADVANCED_FACE('',(#270),#1623,.F.); -#1720=ADVANCED_FACE('',(#271),#1624,.F.); -#1721=ADVANCED_FACE('',(#272),#1625,.F.); -#1722=ADVANCED_FACE('',(#273),#1626,.F.); -#1723=ADVANCED_FACE('',(#274),#1627,.F.); -#1724=ADVANCED_FACE('',(#275),#1628,.T.); -#1725=ADVANCED_FACE('',(#276),#1629,.T.); -#1726=ADVANCED_FACE('',(#277),#1630,.F.); -#1727=ADVANCED_FACE('',(#278),#1631,.T.); -#1728=ADVANCED_FACE('',(#279),#1632,.F.); -#1729=ADVANCED_FACE('',(#280),#89,.F.); -#1730=ADVANCED_FACE('',(#281),#90,.F.); -#1731=ADVANCED_FACE('',(#282),#15,.F.); -#1732=ADVANCED_FACE('',(#283),#16,.F.); -#1733=ADVANCED_FACE('',(#284),#1633,.T.); -#1734=ADVANCED_FACE('',(#285),#1634,.T.); -#1735=ADVANCED_FACE('',(#286),#1635,.T.); -#1736=ADVANCED_FACE('',(#287),#1636,.F.); -#1737=CLOSED_SHELL('',(#1637,#1638,#1639,#1640,#1641,#1642)); -#1738=CLOSED_SHELL('',(#1643,#1644,#1645,#1646)); -#1739=CLOSED_SHELL('',(#1647,#1648,#1649,#1650)); -#1740=CLOSED_SHELL('',(#1651,#1652,#1653,#1654)); -#1741=CLOSED_SHELL('',(#1655,#1656,#1657,#1658)); -#1742=CLOSED_SHELL('',(#1659,#1660,#1661,#1662,#1663,#1664,#1665,#1666, -#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676,#1677,#1678, -#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688,#1689,#1690, -#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701,#1702, -#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712,#1713,#1714, -#1715,#1716,#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724,#1725,#1726, -#1727,#1728,#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736)); -#1743=AXIS2_PLACEMENT_3D('',#2418,#1921,#1922); -#1744=AXIS2_PLACEMENT_3D('',#2419,#1923,#1924); -#1745=AXIS2_PLACEMENT_3D('',#2428,#1929,#1930); -#1746=AXIS2_PLACEMENT_3D('',#2434,#1934,#1935); -#1747=AXIS2_PLACEMENT_3D('',#2440,#1939,#1940); -#1748=AXIS2_PLACEMENT_3D('',#2443,#1943,#1944); -#1749=AXIS2_PLACEMENT_3D('',#2444,#1945,#1946); -#1750=AXIS2_PLACEMENT_3D('',#2445,#1947,#1948); -#1751=AXIS2_PLACEMENT_3D('',#2447,#1949,#1950); -#1752=AXIS2_PLACEMENT_3D('',#2449,#1951,#1952); -#1753=AXIS2_PLACEMENT_3D('',#2450,#1953,#1954); -#1754=AXIS2_PLACEMENT_3D('',#2452,#1955,#1956); -#1755=AXIS2_PLACEMENT_3D('',#2454,#1957,#1958); -#1756=AXIS2_PLACEMENT_3D('',#2455,#1959,#1960); -#1757=AXIS2_PLACEMENT_3D('',#2457,#1962,#1963); -#1758=AXIS2_PLACEMENT_3D('',#2459,#1965,#1966); -#1759=AXIS2_PLACEMENT_3D('',#2461,#1967,#1968); -#1760=AXIS2_PLACEMENT_3D('',#2463,#1969,#1970); -#1761=AXIS2_PLACEMENT_3D('',#2464,#1971,#1972); -#1762=AXIS2_PLACEMENT_3D('',#2466,#1973,#1974); -#1763=AXIS2_PLACEMENT_3D('',#2468,#1975,#1976); -#1764=AXIS2_PLACEMENT_3D('',#2469,#1977,#1978); -#1765=AXIS2_PLACEMENT_3D('',#2471,#1980,#1981); -#1766=AXIS2_PLACEMENT_3D('',#2473,#1983,#1984); -#1767=AXIS2_PLACEMENT_3D('',#2475,#1985,#1986); -#1768=AXIS2_PLACEMENT_3D('',#2477,#1987,#1988); -#1769=AXIS2_PLACEMENT_3D('',#2478,#1989,#1990); -#1770=AXIS2_PLACEMENT_3D('',#2480,#1991,#1992); -#1771=AXIS2_PLACEMENT_3D('',#2482,#1993,#1994); -#1772=AXIS2_PLACEMENT_3D('',#2483,#1995,#1996); -#1773=AXIS2_PLACEMENT_3D('',#2485,#1998,#1999); -#1774=AXIS2_PLACEMENT_3D('',#2487,#2001,#2002); -#1775=AXIS2_PLACEMENT_3D('',#2489,#2003,#2004); -#1776=AXIS2_PLACEMENT_3D('',#2491,#2005,#2006); -#1777=AXIS2_PLACEMENT_3D('',#2492,#2007,#2008); -#1778=AXIS2_PLACEMENT_3D('',#2494,#2009,#2010); -#1779=AXIS2_PLACEMENT_3D('',#2496,#2011,#2012); -#1780=AXIS2_PLACEMENT_3D('',#2497,#2013,#2014); -#1781=AXIS2_PLACEMENT_3D('',#2499,#2016,#2017); -#1782=AXIS2_PLACEMENT_3D('',#2501,#2019,#2020); -#1783=AXIS2_PLACEMENT_3D('',#2508,#2021,#2022); -#1784=AXIS2_PLACEMENT_3D('',#2513,#2023,#2024); -#1785=AXIS2_PLACEMENT_3D('',#2514,#2025,#2026); -#1786=AXIS2_PLACEMENT_3D('',#2520,#2029,#2030); -#1787=AXIS2_PLACEMENT_3D('',#2524,#2032,#2033); -#1788=AXIS2_PLACEMENT_3D('',#2535,#2035,#2036); -#1789=AXIS2_PLACEMENT_3D('',#2539,#2038,#2039); -#1790=AXIS2_PLACEMENT_3D('',#2551,#2041,#2042); -#1791=AXIS2_PLACEMENT_3D('',#2552,#2043,#2044); -#1792=AXIS2_PLACEMENT_3D('',#2561,#2049,#2050); -#1793=AXIS2_PLACEMENT_3D('',#2567,#2054,#2055); -#1794=AXIS2_PLACEMENT_3D('',#2576,#2060,#2061); -#1795=AXIS2_PLACEMENT_3D('',#2582,#2065,#2066); -#1796=AXIS2_PLACEMENT_3D('',#2593,#2068,#2069); -#1797=AXIS2_PLACEMENT_3D('',#2711,#2071,#2072); -#1798=AXIS2_PLACEMENT_3D('',#2751,#2073,#2074); -#1799=AXIS2_PLACEMENT_3D('',#2754,#2075,#2076); -#1800=AXIS2_PLACEMENT_3D('',#2757,#2079,#2080); -#1801=AXIS2_PLACEMENT_3D('',#2758,#2081,#2082); -#1802=AXIS2_PLACEMENT_3D('',#2760,#2083,#2084); -#1803=AXIS2_PLACEMENT_3D('',#2769,#2085,#2086); -#1804=AXIS2_PLACEMENT_3D('',#2773,#2088,#2089); -#1805=AXIS2_PLACEMENT_3D('',#2775,#2090,#2091); -#1806=AXIS2_PLACEMENT_3D('',#2777,#2093,#2094); -#1807=AXIS2_PLACEMENT_3D('',#2788,#2097,#2098); -#1808=AXIS2_PLACEMENT_3D('',#2792,#2101,#2102); -#1809=AXIS2_PLACEMENT_3D('',#2804,#2103,#2104); -#1810=AXIS2_PLACEMENT_3D('',#2806,#2105,#2106); -#1811=AXIS2_PLACEMENT_3D('',#2808,#2107,#2108); -#1812=AXIS2_PLACEMENT_3D('',#2862,#2109,#2110); -#1813=AXIS2_PLACEMENT_3D('',#2869,#2111,#2112); -#1814=AXIS2_PLACEMENT_3D('',#2878,#2113,#2114); -#1815=AXIS2_PLACEMENT_3D('',#2904,#2115,#2116); -#1816=AXIS2_PLACEMENT_3D('',#2906,#2117,#2118); -#1817=AXIS2_PLACEMENT_3D('',#2908,#2119,#2120); -#1818=AXIS2_PLACEMENT_3D('',#2910,#2121,#2122); -#1819=AXIS2_PLACEMENT_3D('',#2930,#2123,#2124); -#1820=AXIS2_PLACEMENT_3D('',#2944,#2126,#2127); -#1821=AXIS2_PLACEMENT_3D('',#2946,#2129,#2130); -#1822=AXIS2_PLACEMENT_3D('',#2950,#2132,#2133); -#1823=AXIS2_PLACEMENT_3D('',#2951,#2134,#2135); -#1824=AXIS2_PLACEMENT_3D('',#2952,#2136,#2137); -#1825=AXIS2_PLACEMENT_3D('',#2955,#2138,#2139); -#1826=AXIS2_PLACEMENT_3D('',#2959,#2141,#2142); -#1827=AXIS2_PLACEMENT_3D('',#2961,#2144,#2145); -#1828=AXIS2_PLACEMENT_3D('',#2962,#2146,#2147); -#1829=AXIS2_PLACEMENT_3D('',#2963,#2148,#2149); -#1830=AXIS2_PLACEMENT_3D('',#2964,#2150,#2151); -#1831=AXIS2_PLACEMENT_3D('',#2972,#2152,#2153); -#1832=AXIS2_PLACEMENT_3D('',#2973,#2154,#2155); -#1833=AXIS2_PLACEMENT_3D('',#2975,#2156,#2157); -#1834=AXIS2_PLACEMENT_3D('',#2984,#2158,#2159); -#1835=AXIS2_PLACEMENT_3D('',#2993,#2160,#2161); -#1836=AXIS2_PLACEMENT_3D('',#2994,#2162,#2163); -#1837=AXIS2_PLACEMENT_3D('',#2995,#2164,#2165); -#1838=AXIS2_PLACEMENT_3D('',#2999,#2167,#2168); -#1839=AXIS2_PLACEMENT_3D('',#3001,#2170,#2171); -#1840=AXIS2_PLACEMENT_3D('',#3008,#2172,#2173); -#1841=AXIS2_PLACEMENT_3D('',#3009,#2174,#2175); -#1842=AXIS2_PLACEMENT_3D('',#3010,#2176,#2177); -#1843=AXIS2_PLACEMENT_3D('',#3022,#2178,#2179); -#1844=AXIS2_PLACEMENT_3D('',#3024,#2180,#2181); -#1845=AXIS2_PLACEMENT_3D('',#3025,#2182,#2183); -#1846=AXIS2_PLACEMENT_3D('',#3032,#2184,#2185); -#1847=AXIS2_PLACEMENT_3D('',#3035,#2188,#2189); -#1848=AXIS2_PLACEMENT_3D('',#3041,#2193,#2194); -#1849=AXIS2_PLACEMENT_3D('',#3044,#2196,#2197); -#1850=AXIS2_PLACEMENT_3D('',#3045,#2198,#2199); -#1851=AXIS2_PLACEMENT_3D('',#3049,#2201,#2202); -#1852=AXIS2_PLACEMENT_3D('',#3052,#2204,#2205); -#1853=AXIS2_PLACEMENT_3D('',#3053,#2206,#2207); -#1854=AXIS2_PLACEMENT_3D('',#3056,#2209,#2210); -#1855=AXIS2_PLACEMENT_3D('',#3057,#2211,#2212); -#1856=AXIS2_PLACEMENT_3D('',#3063,#2216,#2217); -#1857=AXIS2_PLACEMENT_3D('',#3064,#2218,#2219); -#1858=AXIS2_PLACEMENT_3D('',#3065,#2220,#2221); -#1859=AXIS2_PLACEMENT_3D('',#3071,#2225,#2226); -#1860=AXIS2_PLACEMENT_3D('',#3083,#2227,#2228); -#1861=AXIS2_PLACEMENT_3D('',#3084,#2229,#2230); -#1862=AXIS2_PLACEMENT_3D('',#3087,#2232,#2233); -#1863=AXIS2_PLACEMENT_3D('',#3088,#2234,#2235); -#1864=AXIS2_PLACEMENT_3D('',#3089,#2236,#2237); -#1865=AXIS2_PLACEMENT_3D('',#3090,#2238,#2239); -#1866=AXIS2_PLACEMENT_3D('',#3091,#2240,#2241); -#1867=AXIS2_PLACEMENT_3D('',#3092,#2242,#2243); -#1868=AXIS2_PLACEMENT_3D('',#3114,#2250,#2251); -#1869=AXIS2_PLACEMENT_3D('',#3115,#2252,#2253); -#1870=AXIS2_PLACEMENT_3D('',#3116,#2254,#2255); -#1871=AXIS2_PLACEMENT_3D('',#3117,#2256,#2257); -#1872=AXIS2_PLACEMENT_3D('',#3121,#2260,#2261); -#1873=AXIS2_PLACEMENT_3D('',#3122,#2262,#2263); -#1874=AXIS2_PLACEMENT_3D('',#3123,#2264,#2265); -#1875=AXIS2_PLACEMENT_3D('',#3124,#2266,#2267); -#1876=AXIS2_PLACEMENT_3D('',#3125,#2268,#2269); -#1877=AXIS2_PLACEMENT_3D('',#3126,#2270,#2271); -#1878=AXIS2_PLACEMENT_3D('',#3130,#2274,#2275); -#1879=AXIS2_PLACEMENT_3D('',#3136,#2279,#2280); -#1880=AXIS2_PLACEMENT_3D('',#3137,#2281,#2282); -#1881=AXIS2_PLACEMENT_3D('',#3152,#2290,#2291); -#1882=AXIS2_PLACEMENT_3D('',#3154,#2292,#2293); -#1883=AXIS2_PLACEMENT_3D('',#3156,#2295,#2296); -#1884=AXIS2_PLACEMENT_3D('',#3158,#2297,#2298); -#1885=AXIS2_PLACEMENT_3D('',#3168,#2304,#2305); -#1886=AXIS2_PLACEMENT_3D('',#3172,#2308,#2309); -#1887=AXIS2_PLACEMENT_3D('',#3176,#2312,#2313); -#1888=AXIS2_PLACEMENT_3D('',#3178,#2315,#2316); -#1889=AXIS2_PLACEMENT_3D('',#3182,#2318,#2319); -#1890=AXIS2_PLACEMENT_3D('',#3184,#2321,#2322); -#1891=AXIS2_PLACEMENT_3D('',#3187,#2324,#2325); -#1892=AXIS2_PLACEMENT_3D('',#3188,#2326,#2327); -#1893=AXIS2_PLACEMENT_3D('',#3195,#2332,#2333); -#1894=AXIS2_PLACEMENT_3D('',#3197,#2335,#2336); -#1895=AXIS2_PLACEMENT_3D('',#3198,#2337,#2338); -#1896=AXIS2_PLACEMENT_3D('',#3204,#2342,#2343); -#1897=AXIS2_PLACEMENT_3D('',#3206,#2345,#2346); -#1898=AXIS2_PLACEMENT_3D('',#3208,#2348,#2349); -#1899=AXIS2_PLACEMENT_3D('',#3211,#2352,#2353); -#1900=AXIS2_PLACEMENT_3D('',#3212,#2354,#2355); -#1901=AXIS2_PLACEMENT_3D('',#3213,#2356,#2357); -#1902=AXIS2_PLACEMENT_3D('',#3214,#2358,#2359); -#1903=AXIS2_PLACEMENT_3D('',#3217,#2362,#2363); -#1904=AXIS2_PLACEMENT_3D('',#3223,#2367,#2368); -#1905=AXIS2_PLACEMENT_3D('',#3231,#2374,#2375); -#1906=AXIS2_PLACEMENT_3D('',#3233,#2377,#2378); -#1907=AXIS2_PLACEMENT_3D('',#3239,#2382,#2383); -#1908=AXIS2_PLACEMENT_3D('',#3243,#2386,#2387); -#1909=AXIS2_PLACEMENT_3D('',#3245,#2389,#2390); -#1910=AXIS2_PLACEMENT_3D('',#3246,#2391,#2392); -#1911=AXIS2_PLACEMENT_3D('',#3247,#2393,#2394); -#1912=AXIS2_PLACEMENT_3D('',#3248,#2395,#2396); -#1913=AXIS2_PLACEMENT_3D('',#3249,#2397,#2398); -#1914=AXIS2_PLACEMENT_3D('',#3250,#2399,#2400); -#1915=AXIS2_PLACEMENT_3D('',#3251,#2401,#2402); -#1916=AXIS2_PLACEMENT_3D('',#3252,#2403,#2404); -#1917=AXIS2_PLACEMENT_3D('',#3253,#2405,#2406); -#1918=AXIS2_PLACEMENT_3D('',#3257,#2409,#2410); -#1919=AXIS2_PLACEMENT_3D('',#3261,#2413,#2414); -#1920=AXIS2_PLACEMENT_3D('',#3263,#2416,#2417); -#1921=DIRECTION('axis',(0.,0.,1.)); -#1922=DIRECTION('refdir',(1.,0.,0.)); -#1923=DIRECTION('center_axis',(0.,1.,0.)); -#1924=DIRECTION('ref_axis',(-1.,0.,0.)); -#1925=DIRECTION('',(-1.,0.,0.)); -#1926=DIRECTION('',(0.,0.,1.)); -#1927=DIRECTION('',(-1.,0.,0.)); -#1928=DIRECTION('',(0.,0.,1.)); -#1929=DIRECTION('center_axis',(1.,0.,0.)); -#1930=DIRECTION('ref_axis',(0.,1.,0.)); -#1931=DIRECTION('',(0.,1.,0.)); -#1932=DIRECTION('',(0.,1.,0.)); -#1933=DIRECTION('',(0.,0.,1.)); -#1934=DIRECTION('center_axis',(0.,-1.,0.)); -#1935=DIRECTION('ref_axis',(1.,0.,0.)); -#1936=DIRECTION('',(1.,0.,0.)); -#1937=DIRECTION('',(1.,0.,0.)); -#1938=DIRECTION('',(0.,0.,1.)); -#1939=DIRECTION('center_axis',(-1.,2.46519032881566E-33,0.)); -#1940=DIRECTION('ref_axis',(-2.46519032881566E-33,-1.,0.)); -#1941=DIRECTION('',(-2.46519032881566E-33,-1.,0.)); -#1942=DIRECTION('',(-2.46519032881566E-33,-1.,0.)); -#1943=DIRECTION('center_axis',(0.,0.,1.)); -#1944=DIRECTION('ref_axis',(1.,0.,0.)); -#1945=DIRECTION('center_axis',(0.,0.,1.)); -#1946=DIRECTION('ref_axis',(1.,0.,0.)); -#1947=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1948=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#1949=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#1950=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#1951=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1952=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#1953=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1954=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#1955=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#1956=DIRECTION('ref_axis',(-4.92661467177521E-16,-1.,5.07820708805103E-15)); -#1957=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1958=DIRECTION('ref_axis',(-4.92661467177521E-16,-1.,5.07820708805103E-15)); -#1959=DIRECTION('center_axis',(2.04964250700029E-15,-4.09928501400058E-15, --1.)); -#1960=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#1961=DIRECTION('',(-2.04964250700029E-15,4.09928501400058E-15,1.)); -#1962=DIRECTION('center_axis',(2.04964250700029E-15,-4.09928501400058E-15, --1.)); -#1963=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#1964=DIRECTION('',(-2.04964250700029E-15,4.09928501400058E-15,1.)); -#1965=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1966=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#1967=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#1968=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1969=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1970=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1971=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1972=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#1973=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#1974=DIRECTION('ref_axis',(-4.92661467177517E-16,-1.,5.07820708805102E-15)); -#1975=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1976=DIRECTION('ref_axis',(-4.92661467177517E-16,-1.,5.07820708805102E-15)); -#1977=DIRECTION('center_axis',(2.73285667600039E-15,-4.09928501400058E-15, --1.)); -#1978=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1979=DIRECTION('',(-2.73285667600038E-15,4.09928501400058E-15,1.)); -#1980=DIRECTION('center_axis',(2.73285667600039E-15,-4.09928501400058E-15, --1.)); -#1981=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1982=DIRECTION('',(-2.73285667600039E-15,4.09928501400058E-15,1.)); -#1983=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1984=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#1985=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#1986=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1987=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1988=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1989=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1990=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#1991=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#1992=DIRECTION('ref_axis',(-4.92661467177517E-16,-1.,5.07820708805102E-15)); -#1993=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#1994=DIRECTION('ref_axis',(-4.92661467177517E-16,-1.,5.07820708805102E-15)); -#1995=DIRECTION('center_axis',(2.73285667600039E-15,-4.09928501400058E-15, --1.)); -#1996=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#1997=DIRECTION('',(-2.73285667600038E-15,4.09928501400058E-15,1.)); -#1998=DIRECTION('center_axis',(2.73285667600039E-15,-4.09928501400058E-15, --1.)); -#1999=DIRECTION('ref_axis',(-4.92661467177514E-16,-1.,4.09928501400058E-15)); -#2000=DIRECTION('',(-2.73285667600039E-15,4.09928501400058E-15,1.)); -#2001=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#2002=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#2003=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#2004=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#2005=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#2006=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#2007=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#2008=DIRECTION('ref_axis',(-1.,0.,-2.24820162486577E-15)); -#2009=DIRECTION('center_axis',(-2.24820162486577E-15,3.45556916414569E-15, -1.)); -#2010=DIRECTION('ref_axis',(-4.92661467177521E-16,-1.,5.07820708805103E-15)); -#2011=DIRECTION('center_axis',(2.24820162486577E-15,-3.45556916414569E-15, --1.)); -#2012=DIRECTION('ref_axis',(-4.92661467177521E-16,-1.,5.07820708805103E-15)); -#2013=DIRECTION('center_axis',(2.04964250700029E-15,-4.09928501400058E-15, --1.)); -#2014=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#2015=DIRECTION('',(-2.04964250700029E-15,4.09928501400058E-15,1.)); -#2016=DIRECTION('center_axis',(2.04964250700029E-15,-4.09928501400058E-15, --1.)); -#2017=DIRECTION('ref_axis',(-4.92661467177519E-16,-1.,4.09928501400058E-15)); -#2018=DIRECTION('',(-2.04964250700029E-15,4.09928501400058E-15,1.)); -#2019=DIRECTION('center_axis',(0.,0.,1.)); -#2020=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); -#2021=DIRECTION('center_axis',(0.,0.,-1.)); -#2022=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); -#2023=DIRECTION('center_axis',(0.,0.,1.)); -#2024=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); -#2025=DIRECTION('center_axis',(0.,0.,1.)); -#2026=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); -#2027=DIRECTION('',(0.,0.,1.)); -#2028=DIRECTION('',(0.,0.,-1.)); -#2029=DIRECTION('center_axis',(0.,0.,-1.)); -#2030=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); -#2031=DIRECTION('',(0.,0.,-1.)); -#2032=DIRECTION('center_axis',(0.,0.,1.)); -#2033=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,2.22044604925031E-16)); -#2034=DIRECTION('',(0.,0.,-1.)); -#2035=DIRECTION('center_axis',(0.,0.,-1.)); -#2036=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); -#2037=DIRECTION('',(0.,0.,-1.)); -#2038=DIRECTION('center_axis',(0.,0.,1.)); -#2039=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); -#2040=DIRECTION('',(0.,0.,1.)); -#2041=DIRECTION('center_axis',(0.,0.,-1.)); -#2042=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); -#2043=DIRECTION('center_axis',(0.,-0.707106781186549,0.707106781186546)); -#2044=DIRECTION('ref_axis',(1.,0.,0.)); -#2045=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); -#2046=DIRECTION('',(-1.,0.,0.)); -#2047=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); -#2048=DIRECTION('',(1.,0.,0.)); -#2049=DIRECTION('center_axis',(0.,0.,-1.)); -#2050=DIRECTION('ref_axis',(-1.,0.,0.)); -#2051=DIRECTION('',(0.,1.,0.)); -#2052=DIRECTION('',(1.,0.,0.)); -#2053=DIRECTION('',(0.,1.,0.)); -#2054=DIRECTION('center_axis',(0.,-0.707106781186549,0.707106781186546)); -#2055=DIRECTION('ref_axis',(1.,0.,0.)); -#2056=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); -#2057=DIRECTION('',(-1.,0.,0.)); -#2058=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); -#2059=DIRECTION('',(1.,0.,0.)); -#2060=DIRECTION('center_axis',(0.,0.,-1.)); -#2061=DIRECTION('ref_axis',(-1.,0.,0.)); -#2062=DIRECTION('',(0.,-1.,0.)); -#2063=DIRECTION('',(1.,0.,0.)); -#2064=DIRECTION('',(0.,1.,0.)); -#2065=DIRECTION('center_axis',(1.,0.,0.)); -#2066=DIRECTION('ref_axis',(0.,-0.400000000000001,0.916515138991168)); -#2067=DIRECTION('',(1.,0.,0.)); -#2068=DIRECTION('center_axis',(1.,0.,0.)); -#2069=DIRECTION('ref_axis',(0.,-0.400000000000001,0.916515138991168)); -#2070=DIRECTION('',(1.,0.,0.)); -#2071=DIRECTION('center_axis',(-1.,8.88178419700125E-16,0.)); -#2072=DIRECTION('ref_axis',(0.,0.,-1.)); -#2073=DIRECTION('center_axis',(1.,0.,0.)); -#2074=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186547)); -#2075=DIRECTION('center_axis',(-1.,0.,0.)); -#2076=DIRECTION('ref_axis',(0.,0.,-1.)); -#2077=DIRECTION('',(1.,0.,0.)); -#2078=DIRECTION('',(-1.,0.,0.)); -#2079=DIRECTION('center_axis',(0.,-1.,0.)); -#2080=DIRECTION('ref_axis',(0.,0.,-1.)); -#2081=DIRECTION('center_axis',(0.,-1.,0.)); -#2082=DIRECTION('ref_axis',(-0.942072184070838,0.,-0.335410196624969)); -#2083=DIRECTION('center_axis',(0.,1.,0.)); -#2084=DIRECTION('ref_axis',(-0.942072184070838,0.,-0.335410196624969)); -#2085=DIRECTION('center_axis',(0.,1.,0.)); -#2086=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); -#2087=DIRECTION('',(0.,1.,0.)); -#2088=DIRECTION('center_axis',(0.,-1.,0.)); -#2089=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); -#2090=DIRECTION('center_axis',(0.,0.707106781186547,0.707106781186548)); -#2091=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); -#2092=DIRECTION('',(0.,1.,0.)); -#2093=DIRECTION('center_axis',(0.,0.,1.)); -#2094=DIRECTION('ref_axis',(-1.,0.,0.)); -#2095=DIRECTION('',(0.,1.,0.)); -#2096=DIRECTION('',(-1.,0.,0.)); -#2097=DIRECTION('center_axis',(0.,0.,1.)); -#2098=DIRECTION('ref_axis',(-0.677415219806739,0.735600856425676,0.)); -#2099=DIRECTION('',(0.,-1.,0.)); -#2100=DIRECTION('',(1.,0.,0.)); -#2101=DIRECTION('center_axis',(0.,-1.,0.)); -#2102=DIRECTION('ref_axis',(0.,0.,-1.)); -#2103=DIRECTION('center_axis',(0.,1.,0.)); -#2104=DIRECTION('ref_axis',(0.995479425148019,0.,-0.0949774399895507)); -#2105=DIRECTION('center_axis',(-0.774761008354448,0.,-0.632254205152958)); -#2106=DIRECTION('ref_axis',(0.632254205152958,0.,-0.774761008354448)); -#2107=DIRECTION('center_axis',(0.,-1.,0.)); -#2108=DIRECTION('ref_axis',(0.995479425148019,0.,-0.0949774399895507)); -#2109=DIRECTION('center_axis',(-0.735600856425677,-0.677415219806738,2.61337922476087E-15)); -#2110=DIRECTION('ref_axis',(-3.5527136788005E-15,0.,-1.)); -#2111=DIRECTION('center_axis',(0.,0.,1.)); -#2112=DIRECTION('ref_axis',(-0.999950852916359,0.00991421967913608,0.)); -#2113=DIRECTION('center_axis',(0.,0.,-1.)); -#2114=DIRECTION('ref_axis',(-0.677415219806739,0.735600856425676,0.)); -#2115=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,0.408248290463863)); -#2116=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); -#2117=DIRECTION('center_axis',(1.,0.,0.)); -#2118=DIRECTION('ref_axis',(0.,-1.,0.)); -#2119=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.)); -#2120=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16)); -#2121=DIRECTION('center_axis',(0.,1.,0.)); -#2122=DIRECTION('ref_axis',(0.,0.,1.)); -#2123=DIRECTION('center_axis',(-1.,0.,0.)); -#2124=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186547)); -#2125=DIRECTION('',(-1.,0.,0.)); -#2126=DIRECTION('center_axis',(-1.,0.,0.)); -#2127=DIRECTION('ref_axis',(0.,0.,-1.)); -#2128=DIRECTION('',(1.,0.,0.)); -#2129=DIRECTION('center_axis',(0.,-1.,0.)); -#2130=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); -#2131=DIRECTION('',(0.,-1.,0.)); -#2132=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); -#2133=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186547)); -#2134=DIRECTION('center_axis',(0.,-1.,0.)); -#2135=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); -#2136=DIRECTION('center_axis',(0.,0.,1.)); -#2137=DIRECTION('ref_axis',(1.,0.,0.)); -#2138=DIRECTION('center_axis',(2.46716227694479E-16,2.46716227694479E-16, -1.)); -#2139=DIRECTION('ref_axis',(1.,0.,-2.46716227694479E-16)); -#2140=DIRECTION('',(0.,0.,1.)); -#2141=DIRECTION('center_axis',(0.,0.,-1.)); -#2142=DIRECTION('ref_axis',(0.,1.,0.)); -#2143=DIRECTION('',(0.,0.,-1.)); -#2144=DIRECTION('center_axis',(0.,1.,0.)); -#2145=DIRECTION('ref_axis',(1.,0.,-1.36642833800019E-16)); -#2146=DIRECTION('center_axis',(0.,1.,0.)); -#2147=DIRECTION('ref_axis',(1.,0.,-1.30614473485313E-16)); -#2148=DIRECTION('center_axis',(0.,-1.,0.)); -#2149=DIRECTION('ref_axis',(1.,0.,0.)); -#2150=DIRECTION('center_axis',(0.,1.,0.)); -#2151=DIRECTION('ref_axis',(1.,0.,0.)); -#2152=DIRECTION('center_axis',(-0.972884424359967,0.,-0.231291800195718)); -#2153=DIRECTION('ref_axis',(0.,1.,0.)); -#2154=DIRECTION('center_axis',(0.,-1.,0.)); -#2155=DIRECTION('ref_axis',(1.,0.,0.)); -#2156=DIRECTION('center_axis',(0.,1.,0.)); -#2157=DIRECTION('ref_axis',(1.,0.,0.)); -#2158=DIRECTION('center_axis',(0.,1.,0.)); -#2159=DIRECTION('ref_axis',(0.231291800195718,0.,-0.972884424359967)); -#2160=DIRECTION('center_axis',(-1.,0.,0.)); -#2161=DIRECTION('ref_axis',(0.,1.,0.)); -#2162=DIRECTION('center_axis',(0.,-1.,0.)); -#2163=DIRECTION('ref_axis',(0.231291800195718,0.,-0.972884424359967)); -#2164=DIRECTION('center_axis',(-1.,0.,0.)); -#2165=DIRECTION('ref_axis',(0.,1.,0.)); -#2166=DIRECTION('',(1.,0.,0.)); -#2167=DIRECTION('center_axis',(-1.,0.,0.)); -#2168=DIRECTION('ref_axis',(0.,1.,0.)); -#2169=DIRECTION('',(-1.,0.,0.)); -#2170=DIRECTION('center_axis',(0.,1.,0.)); -#2171=DIRECTION('ref_axis',(0.,0.,-1.)); -#2172=DIRECTION('center_axis',(-0.988378446092267,0.,0.152013312904615)); -#2173=DIRECTION('ref_axis',(0.,1.,0.)); -#2174=DIRECTION('center_axis',(0.,-1.,0.)); -#2175=DIRECTION('ref_axis',(0.,0.,-1.)); -#2176=DIRECTION('center_axis',(0.,1.,0.)); -#2177=DIRECTION('ref_axis',(-0.152013312904615,0.,-0.988378446092267)); -#2178=DIRECTION('center_axis',(0.,1.,0.)); -#2179=DIRECTION('ref_axis',(-0.152013312904615,0.,-0.988378446092267)); -#2180=DIRECTION('center_axis',(-2.4671622769448E-16,0.,1.)); -#2181=DIRECTION('ref_axis',(0.,1.,0.)); -#2182=DIRECTION('center_axis',(0.,-1.,0.)); -#2183=DIRECTION('ref_axis',(-0.152013312904615,0.,-0.988378446092267)); -#2184=DIRECTION('center_axis',(0.,0.,1.)); -#2185=DIRECTION('ref_axis',(0.,1.,0.)); -#2186=DIRECTION('',(0.,0.,1.)); -#2187=DIRECTION('',(0.,0.,-1.)); -#2188=DIRECTION('center_axis',(1.,0.,0.)); -#2189=DIRECTION('ref_axis',(0.,-1.,0.)); -#2190=DIRECTION('',(0.,1.,0.)); -#2191=DIRECTION('',(0.,0.,1.)); -#2192=DIRECTION('',(0.,-1.,0.)); -#2193=DIRECTION('center_axis',(0.,1.,0.)); -#2194=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); -#2195=DIRECTION('',(0.,-1.,0.)); -#2196=DIRECTION('center_axis',(0.,-1.,0.)); -#2197=DIRECTION('ref_axis',(0.,0.,1.)); -#2198=DIRECTION('center_axis',(0.,0.,-1.)); -#2199=DIRECTION('ref_axis',(0.,1.,0.)); -#2200=DIRECTION('',(0.,1.,0.)); -#2201=DIRECTION('center_axis',(0.,0.,-1.)); -#2202=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); -#2203=DIRECTION('',(1.,0.,0.)); -#2204=DIRECTION('center_axis',(0.,0.,-1.)); -#2205=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); -#2206=DIRECTION('center_axis',(0.,-1.,0.)); -#2207=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); -#2208=DIRECTION('',(0.,-1.,0.)); -#2209=DIRECTION('center_axis',(0.,1.,0.)); -#2210=DIRECTION('ref_axis',(0.,0.,1.)); -#2211=DIRECTION('center_axis',(-1.,0.,0.)); -#2212=DIRECTION('ref_axis',(0.,1.,0.)); -#2213=DIRECTION('',(0.,1.,0.)); -#2214=DIRECTION('',(0.,0.,-1.)); -#2215=DIRECTION('',(0.,0.,-1.)); -#2216=DIRECTION('center_axis',(0.,-1.,0.)); -#2217=DIRECTION('ref_axis',(0.939523365338204,0.,-0.342484811318073)); -#2218=DIRECTION('center_axis',(0.,1.,0.)); -#2219=DIRECTION('ref_axis',(1.,0.,0.)); -#2220=DIRECTION('center_axis',(0.,1.,0.)); -#2221=DIRECTION('ref_axis',(0.,0.,1.)); -#2222=DIRECTION('',(0.,0.,-1.)); -#2223=DIRECTION('',(-1.,0.,0.)); -#2224=DIRECTION('',(0.,0.,-1.)); -#2225=DIRECTION('center_axis',(0.,0.,-1.)); -#2226=DIRECTION('ref_axis',(-1.,0.,0.)); -#2227=DIRECTION('center_axis',(0.,0.,1.)); -#2228=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); -#2229=DIRECTION('center_axis',(0.,0.,-1.)); -#2230=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); -#2231=DIRECTION('',(0.,0.,-1.)); -#2232=DIRECTION('center_axis',(0.,0.,1.)); -#2233=DIRECTION('ref_axis',(0.,-1.,0.)); -#2234=DIRECTION('center_axis',(0.,-1.,0.)); -#2235=DIRECTION('ref_axis',(0.,0.,-1.)); -#2236=DIRECTION('center_axis',(0.,1.,0.)); -#2237=DIRECTION('ref_axis',(-1.,0.,0.)); -#2238=DIRECTION('center_axis',(0.,-1.,0.)); -#2239=DIRECTION('ref_axis',(-1.,0.,0.)); -#2240=DIRECTION('center_axis',(0.,-1.,0.)); -#2241=DIRECTION('ref_axis',(0.,0.,-1.)); -#2242=DIRECTION('center_axis',(0.,1.,0.)); -#2243=DIRECTION('ref_axis',(0.,0.,1.)); -#2244=DIRECTION('',(0.,0.,1.)); -#2245=DIRECTION('',(0.,0.,-1.)); -#2246=DIRECTION('',(-1.,0.,0.)); -#2247=DIRECTION('',(0.,0.,1.)); -#2248=DIRECTION('',(1.,0.,0.)); -#2249=DIRECTION('',(0.,0.,-1.)); -#2250=DIRECTION('center_axis',(0.,-1.,0.)); -#2251=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); -#2252=DIRECTION('center_axis',(0.,0.,-1.)); -#2253=DIRECTION('ref_axis',(-1.,0.,0.)); -#2254=DIRECTION('center_axis',(0.,0.,1.)); -#2255=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); -#2256=DIRECTION('center_axis',(0.,1.,0.)); -#2257=DIRECTION('ref_axis',(0.,0.,1.)); -#2258=DIRECTION('',(-1.,0.,0.)); -#2259=DIRECTION('',(0.,0.,-1.)); -#2260=DIRECTION('center_axis',(0.,0.,-1.)); -#2261=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); -#2262=DIRECTION('center_axis',(0.,0.,1.)); -#2263=DIRECTION('ref_axis',(-1.,0.,0.)); -#2264=DIRECTION('center_axis',(0.,0.,-1.)); -#2265=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); -#2266=DIRECTION('center_axis',(0.,0.,1.)); -#2267=DIRECTION('ref_axis',(-1.,0.,0.)); -#2268=DIRECTION('center_axis',(0.,-1.,0.)); -#2269=DIRECTION('ref_axis',(0.,0.,-1.)); -#2270=DIRECTION('center_axis',(0.,0.,1.)); -#2271=DIRECTION('ref_axis',(1.,0.,0.)); -#2272=DIRECTION('',(0.,1.,0.)); -#2273=DIRECTION('',(1.,0.,0.)); -#2274=DIRECTION('center_axis',(0.,0.,-1.)); -#2275=DIRECTION('ref_axis',(0.,1.,0.)); -#2276=DIRECTION('',(-1.,0.,0.)); -#2277=DIRECTION('',(-1.,0.,0.)); -#2278=DIRECTION('',(0.,1.,0.)); -#2279=DIRECTION('center_axis',(0.,0.,-1.)); -#2280=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); -#2281=DIRECTION('center_axis',(0.,0.,1.)); -#2282=DIRECTION('ref_axis',(1.,0.,0.)); -#2283=DIRECTION('',(-1.,0.,0.)); -#2284=DIRECTION('',(0.,-1.,0.)); -#2285=DIRECTION('',(1.,0.,0.)); -#2286=DIRECTION('',(0.,1.,0.)); -#2287=DIRECTION('',(-1.,0.,0.)); -#2288=DIRECTION('',(0.,1.,0.)); -#2289=DIRECTION('',(1.,0.,0.)); -#2290=DIRECTION('center_axis',(0.,0.,1.)); -#2291=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); -#2292=DIRECTION('center_axis',(0.,0.,-1.)); -#2293=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); -#2294=DIRECTION('',(-1.,0.,0.)); -#2295=DIRECTION('center_axis',(0.,0.,1.)); -#2296=DIRECTION('ref_axis',(1.,0.,0.)); -#2297=DIRECTION('center_axis',(0.,0.,1.)); -#2298=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); -#2299=DIRECTION('',(1.,0.,0.)); -#2300=DIRECTION('',(0.,1.,0.)); -#2301=DIRECTION('',(-1.,0.,0.)); -#2302=DIRECTION('',(0.,-1.,0.)); -#2303=DIRECTION('',(-1.,0.,0.)); -#2304=DIRECTION('center_axis',(0.,-1.,0.)); -#2305=DIRECTION('ref_axis',(-1.,0.,0.)); -#2306=DIRECTION('',(-1.,0.,0.)); -#2307=DIRECTION('',(0.,0.,-1.)); -#2308=DIRECTION('center_axis',(0.,0.,-1.)); -#2309=DIRECTION('ref_axis',(-1.,0.,0.)); -#2310=DIRECTION('',(1.,0.,0.)); -#2311=DIRECTION('',(0.,1.,0.)); -#2312=DIRECTION('center_axis',(0.,1.,0.)); -#2313=DIRECTION('ref_axis',(1.,0.,0.)); -#2314=DIRECTION('',(0.,0.,1.)); -#2315=DIRECTION('center_axis',(0.,0.,1.)); -#2316=DIRECTION('ref_axis',(1.,0.,0.)); -#2317=DIRECTION('',(1.,0.,0.)); -#2318=DIRECTION('center_axis',(0.,0.,1.)); -#2319=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); -#2320=DIRECTION('',(0.,1.,0.)); -#2321=DIRECTION('center_axis',(0.,0.,1.)); -#2322=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); -#2323=DIRECTION('',(0.,0.,-1.)); -#2324=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.)); -#2325=DIRECTION('ref_axis',(0.,1.,0.)); -#2326=DIRECTION('center_axis',(0.,1.,0.)); -#2327=DIRECTION('ref_axis',(0.,0.,1.)); -#2328=DIRECTION('',(0.,0.,1.)); -#2329=DIRECTION('',(0.,0.,1.)); -#2330=DIRECTION('',(-1.,0.,0.)); -#2331=DIRECTION('',(0.,0.,1.)); -#2332=DIRECTION('center_axis',(0.,0.,1.)); -#2333=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); -#2334=DIRECTION('',(0.,0.,1.)); -#2335=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); -#2336=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); -#2337=DIRECTION('center_axis',(0.,-1.,0.)); -#2338=DIRECTION('ref_axis',(0.,0.,-1.)); -#2339=DIRECTION('',(0.,0.,1.)); -#2340=DIRECTION('',(-1.,0.,0.)); -#2341=DIRECTION('',(0.,0.,-1.)); -#2342=DIRECTION('center_axis',(-1.,0.,0.)); -#2343=DIRECTION('ref_axis',(0.,0.,1.)); -#2344=DIRECTION('',(0.,-1.,0.)); -#2345=DIRECTION('center_axis',(-1.,0.,0.)); -#2346=DIRECTION('ref_axis',(0.,0.,1.)); -#2347=DIRECTION('',(0.,1.,0.)); -#2348=DIRECTION('center_axis',(0.,0.,1.)); -#2349=DIRECTION('ref_axis',(1.,0.,0.)); -#2350=DIRECTION('',(0.,1.,0.)); -#2351=DIRECTION('',(0.,-1.,0.)); -#2352=DIRECTION('center_axis',(1.,0.,0.)); -#2353=DIRECTION('ref_axis',(0.,-1.,0.)); -#2354=DIRECTION('center_axis',(0.,0.,-1.)); -#2355=DIRECTION('ref_axis',(-1.,0.,0.)); -#2356=DIRECTION('center_axis',(-1.,0.,0.)); -#2357=DIRECTION('ref_axis',(0.,1.,0.)); -#2358=DIRECTION('center_axis',(-1.,0.,0.)); -#2359=DIRECTION('ref_axis',(0.,1.,0.)); -#2360=DIRECTION('',(0.,0.,1.)); -#2361=DIRECTION('',(0.,0.,-1.)); -#2362=DIRECTION('center_axis',(1.,0.,0.)); -#2363=DIRECTION('ref_axis',(0.,-1.,0.)); -#2364=DIRECTION('',(0.,-1.,0.)); -#2365=DIRECTION('',(0.,0.,1.)); -#2366=DIRECTION('',(0.,0.,-1.)); -#2367=DIRECTION('center_axis',(0.,1.,0.)); -#2368=DIRECTION('ref_axis',(-1.,0.,0.)); -#2369=DIRECTION('',(0.,0.,1.)); -#2370=DIRECTION('',(1.,0.,0.)); -#2371=DIRECTION('',(0.,0.,1.)); -#2372=DIRECTION('',(-1.,0.,0.)); -#2373=DIRECTION('',(0.,0.,1.)); -#2374=DIRECTION('center_axis',(-1.,0.,0.)); -#2375=DIRECTION('ref_axis',(0.,0.,1.)); -#2376=DIRECTION('',(0.,0.,-1.)); -#2377=DIRECTION('center_axis',(-1.,0.,0.)); -#2378=DIRECTION('ref_axis',(0.,-1.,0.)); -#2379=DIRECTION('',(0.,0.,1.)); -#2380=DIRECTION('',(0.,1.,0.)); -#2381=DIRECTION('',(0.,0.,1.)); -#2382=DIRECTION('center_axis',(-1.,0.,0.)); -#2383=DIRECTION('ref_axis',(0.,1.,0.)); -#2384=DIRECTION('',(0.,1.,0.)); -#2385=DIRECTION('',(0.,0.,-1.)); -#2386=DIRECTION('center_axis',(0.,0.,1.)); -#2387=DIRECTION('ref_axis',(1.,0.,0.)); -#2388=DIRECTION('',(-1.,0.,0.)); -#2389=DIRECTION('center_axis',(0.,-1.,0.)); -#2390=DIRECTION('ref_axis',(1.,0.,0.)); -#2391=DIRECTION('center_axis',(0.,0.,1.)); -#2392=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); -#2393=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); -#2394=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); -#2395=DIRECTION('center_axis',(1.,0.,0.)); -#2396=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186547)); -#2397=DIRECTION('center_axis',(-1.,0.,0.)); -#2398=DIRECTION('ref_axis',(0.,1.,0.)); -#2399=DIRECTION('center_axis',(1.,0.,0.)); -#2400=DIRECTION('ref_axis',(0.,0.,-1.)); -#2401=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863)); -#2402=DIRECTION('ref_axis',(0.577350269189625,0.577350269189626,-0.577350269189626)); -#2403=DIRECTION('center_axis',(0.408248290463863,-0.408248290463863,-0.816496580927726)); -#2404=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); -#2405=DIRECTION('center_axis',(0.,-1.,0.)); -#2406=DIRECTION('ref_axis',(1.,0.,0.)); -#2407=DIRECTION('',(-1.,0.,0.)); -#2408=DIRECTION('',(0.,0.,1.)); -#2409=DIRECTION('center_axis',(1.,0.,0.)); -#2410=DIRECTION('ref_axis',(0.,1.,0.)); -#2411=DIRECTION('',(0.,-1.,0.)); -#2412=DIRECTION('',(0.,0.,1.)); -#2413=DIRECTION('center_axis',(0.,1.,0.)); -#2414=DIRECTION('ref_axis',(-1.,0.,0.)); -#2415=DIRECTION('',(1.,0.,0.)); -#2416=DIRECTION('center_axis',(0.,0.,1.)); -#2417=DIRECTION('ref_axis',(-1.,0.,0.)); -#2418=CARTESIAN_POINT('',(0.,0.,0.)); -#2419=CARTESIAN_POINT('Origin',(120.,100.,0.)); -#2420=CARTESIAN_POINT('',(120.,100.,0.)); -#2421=CARTESIAN_POINT('',(2.46519032881566E-31,100.,0.)); -#2422=CARTESIAN_POINT('',(120.,100.,0.)); -#2423=CARTESIAN_POINT('',(2.46519032881566E-31,100.,3.)); -#2424=CARTESIAN_POINT('',(2.46519032881566E-31,100.,0.)); -#2425=CARTESIAN_POINT('',(120.,100.,3.)); -#2426=CARTESIAN_POINT('',(120.,100.,3.)); -#2427=CARTESIAN_POINT('',(120.,100.,0.)); -#2428=CARTESIAN_POINT('Origin',(120.,0.,0.)); -#2429=CARTESIAN_POINT('',(120.,0.,0.)); -#2430=CARTESIAN_POINT('',(120.,0.,0.)); -#2431=CARTESIAN_POINT('',(120.,0.,3.)); -#2432=CARTESIAN_POINT('',(120.,0.,3.)); -#2433=CARTESIAN_POINT('',(120.,0.,0.)); -#2434=CARTESIAN_POINT('Origin',(0.,0.,0.)); -#2435=CARTESIAN_POINT('',(0.,0.,0.)); -#2436=CARTESIAN_POINT('',(0.,0.,0.)); -#2437=CARTESIAN_POINT('',(0.,0.,3.)); -#2438=CARTESIAN_POINT('',(0.,0.,3.)); -#2439=CARTESIAN_POINT('',(0.,0.,0.)); -#2440=CARTESIAN_POINT('Origin',(2.46519032881566E-31,100.,0.)); -#2441=CARTESIAN_POINT('',(2.46519032881566E-31,100.,0.)); -#2442=CARTESIAN_POINT('',(2.46519032881566E-31,100.,3.)); -#2443=CARTESIAN_POINT('Origin',(60.,50.,3.)); -#2444=CARTESIAN_POINT('Origin',(60.,50.,0.)); -#2445=CARTESIAN_POINT('Origin',(34.,56.7000000178815,3.99999998211889)); -#2446=CARTESIAN_POINT('',(34.,59.7000000178815,3.99999998211887)); -#2447=CARTESIAN_POINT('Origin',(34.,56.7000000178815,3.99999998211889)); -#2448=CARTESIAN_POINT('',(34.,58.0500000178815,3.99999998211888)); -#2449=CARTESIAN_POINT('Origin',(34.,56.7000000178815,3.99999998211889)); -#2450=CARTESIAN_POINT('Origin',(34.,56.7000000178815,11.9999999821189)); -#2451=CARTESIAN_POINT('',(34.,59.7000000178815,11.9999999821189)); -#2452=CARTESIAN_POINT('Origin',(34.,56.7000000178815,11.9999999821189)); -#2453=CARTESIAN_POINT('',(34.,58.0500000178815,11.9999999821189)); -#2454=CARTESIAN_POINT('Origin',(34.,56.7000000178815,11.9999999821189)); -#2455=CARTESIAN_POINT('Origin',(34.,56.7000000178815,8.99999998211889)); -#2456=CARTESIAN_POINT('',(34.,58.0500000178815,8.99999998211888)); -#2457=CARTESIAN_POINT('Origin',(34.,56.7000000178815,8.99999998211889)); -#2458=CARTESIAN_POINT('',(34.,59.7000000178815,8.99999998211888)); -#2459=CARTESIAN_POINT('Origin',(92.,68.2000000178815,3.99999998211898)); -#2460=CARTESIAN_POINT('',(92.,82.7000000178815,3.9999999821189)); -#2461=CARTESIAN_POINT('Origin',(92.,79.7000000178815,3.99999998211893)); -#2462=CARTESIAN_POINT('',(92.,81.0500000178814,3.99999998211891)); -#2463=CARTESIAN_POINT('Origin',(92.,79.7000000178815,3.99999998211893)); -#2464=CARTESIAN_POINT('Origin',(92.,68.2000000178815,11.999999982119)); -#2465=CARTESIAN_POINT('',(92.,82.7000000178815,11.9999999821189)); -#2466=CARTESIAN_POINT('Origin',(92.,79.7000000178815,11.9999999821189)); -#2467=CARTESIAN_POINT('',(92.,81.0500000178815,11.9999999821189)); -#2468=CARTESIAN_POINT('Origin',(92.,79.7000000178815,11.9999999821189)); -#2469=CARTESIAN_POINT('Origin',(92.,79.7000000178815,8.99999998211892)); -#2470=CARTESIAN_POINT('',(92.,81.0500000178815,8.99999998211891)); -#2471=CARTESIAN_POINT('Origin',(92.,79.7000000178815,8.99999998211892)); -#2472=CARTESIAN_POINT('',(92.,82.7000000178815,8.99999998211891)); -#2473=CARTESIAN_POINT('Origin',(92.,68.2000000178815,3.99999998211898)); -#2474=CARTESIAN_POINT('',(92.,59.7000000178815,3.99999998211902)); -#2475=CARTESIAN_POINT('Origin',(92.,56.7000000178815,3.99999998211902)); -#2476=CARTESIAN_POINT('',(92.,58.0500000178815,3.99999998211903)); -#2477=CARTESIAN_POINT('Origin',(92.,56.7000000178815,3.99999998211902)); -#2478=CARTESIAN_POINT('Origin',(92.,68.2000000178815,11.999999982119)); -#2479=CARTESIAN_POINT('',(92.,59.7000000178815,11.999999982119)); -#2480=CARTESIAN_POINT('Origin',(92.,56.7000000178815,11.999999982119)); -#2481=CARTESIAN_POINT('',(92.,58.0500000178815,11.999999982119)); -#2482=CARTESIAN_POINT('Origin',(92.,56.7000000178815,11.999999982119)); -#2483=CARTESIAN_POINT('Origin',(92.,56.7000000178815,8.99999998211904)); -#2484=CARTESIAN_POINT('',(92.,58.0500000178815,8.99999998211903)); -#2485=CARTESIAN_POINT('Origin',(92.,56.7000000178815,8.99999998211904)); -#2486=CARTESIAN_POINT('',(92.,59.7000000178815,8.99999998211903)); -#2487=CARTESIAN_POINT('Origin',(34.,79.7000000178815,3.99999998211881)); -#2488=CARTESIAN_POINT('',(34.,82.7000000178815,3.99999998211879)); -#2489=CARTESIAN_POINT('Origin',(34.,79.7000000178815,3.99999998211881)); -#2490=CARTESIAN_POINT('',(34.,81.0500000178815,3.9999999821188)); -#2491=CARTESIAN_POINT('Origin',(34.,79.7000000178815,3.99999998211881)); -#2492=CARTESIAN_POINT('Origin',(34.,79.7000000178815,11.9999999821188)); -#2493=CARTESIAN_POINT('',(34.,82.7000000178815,11.9999999821188)); -#2494=CARTESIAN_POINT('Origin',(34.,79.7000000178815,11.9999999821188)); -#2495=CARTESIAN_POINT('',(34.,81.0500000178815,11.9999999821188)); -#2496=CARTESIAN_POINT('Origin',(34.,79.7000000178815,11.9999999821188)); -#2497=CARTESIAN_POINT('Origin',(34.,79.7000000178815,8.99999998211881)); -#2498=CARTESIAN_POINT('',(34.,81.0500000178815,8.9999999821188)); -#2499=CARTESIAN_POINT('Origin',(34.,79.7000000178815,8.99999998211881)); -#2500=CARTESIAN_POINT('',(34.,82.7000000178815,8.9999999821188)); -#2501=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,30.5)); -#2502=CARTESIAN_POINT('',(2.06162715377262,24.5950814334347,31.)); -#2503=CARTESIAN_POINT('',(3.06469442218095,24.5950814334347,30.)); -#2504=CARTESIAN_POINT('Ctrl Pts',(3.06469442218096,24.5950814334348,30.)); -#2505=CARTESIAN_POINT('Ctrl Pts',(2.57539331695395,24.5950814334348,30.4877299505812)); -#2506=CARTESIAN_POINT('Ctrl Pts',(2.06162715377262,24.5950814334348,31.)); -#2507=CARTESIAN_POINT('',(4.50134624694404,30.5950814334347,30.)); -#2508=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,30.)); -#2509=CARTESIAN_POINT('',(3.42452632207064,30.5950814334347,31.)); -#2510=CARTESIAN_POINT('Ctrl Pts',(3.42452632207063,30.5950814334347,31.)); -#2511=CARTESIAN_POINT('Ctrl Pts',(3.97606823480966,30.5950814334347,30.4858592542619)); -#2512=CARTESIAN_POINT('Ctrl Pts',(4.50134624694404,30.5950814334347,30.)); -#2513=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,31.)); -#2514=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,3.)); -#2515=CARTESIAN_POINT('',(4.50134624694404,30.5950814334347,43.)); -#2516=CARTESIAN_POINT('',(4.50134624694404,30.5950814334347,3.)); -#2517=CARTESIAN_POINT('',(3.06469442218096,24.5950814334348,43.)); -#2518=CARTESIAN_POINT('',(3.06469442218096,24.5950814334347,3.)); -#2519=CARTESIAN_POINT('',(23.0004740626184,2.99361643914949,43.)); -#2520=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,43.)); -#2521=CARTESIAN_POINT('',(23.0004740626184,2.99361643914949,26.)); -#2522=CARTESIAN_POINT('',(23.0004740626184,2.99361643914949,3.)); -#2523=CARTESIAN_POINT('',(4.06070296069178,16.5682704868582,25.9999999989398)); -#2524=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,26.)); -#2525=CARTESIAN_POINT('',(3.5132987927133,18.4936164391495,27.4855353075219)); -#2526=CARTESIAN_POINT('Ctrl Pts',(4.06070296069178,16.5682704868582,25.9999999989398)); -#2527=CARTESIAN_POINT('Ctrl Pts',(4.02058691113803,16.6865191853256,26.1498743925496)); -#2528=CARTESIAN_POINT('Ctrl Pts',(3.97937554653295,16.8116839723784,26.2907713248206)); -#2529=CARTESIAN_POINT('Ctrl Pts',(3.80292722310668,17.3674162822764,26.8518355582216)); -#2530=CARTESIAN_POINT('Ctrl Pts',(3.64975307452828,17.9027034378037,27.2276397305881)); -#2531=CARTESIAN_POINT('Ctrl Pts',(3.51329879271386,18.493616439147,27.4855353075208)); -#2532=CARTESIAN_POINT('',(3.5132987927133,18.4936164391495,26.4855353075219)); -#2533=CARTESIAN_POINT('',(3.5132987927133,18.4936164391495,3.)); -#2534=CARTESIAN_POINT('',(7.38797906662236,35.4936164391495,26.4855353075219)); -#2535=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,26.4855353075219)); -#2536=CARTESIAN_POINT('',(7.38797906662236,35.4936164391495,42.4855353075219)); -#2537=CARTESIAN_POINT('',(7.38797906662237,35.4936164391495,3.)); -#2538=CARTESIAN_POINT('',(10.3612494028538,38.4936164391495,42.4855353075219)); -#2539=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,42.4855353075219)); -#2540=CARTESIAN_POINT('',(10.3612494028538,38.4936164391495,34.0777472107017)); -#2541=CARTESIAN_POINT('',(10.3612494028538,38.4936164391495,3.)); -#2542=CARTESIAN_POINT('',(13.9861661847006,40.8469705632941,42.9999999999797)); -#2543=CARTESIAN_POINT('Ctrl Pts',(13.9861661847094,40.8469705632985,42.9999999999673)); -#2544=CARTESIAN_POINT('Ctrl Pts',(13.2695375648688,40.4851387770446,42.3644842470297)); -#2545=CARTESIAN_POINT('Ctrl Pts',(12.648108915977,40.1170251226781,41.626015254632)); -#2546=CARTESIAN_POINT('Ctrl Pts',(11.4519942378571,39.3424099162722,39.6802287098948)); -#2547=CARTESIAN_POINT('Ctrl Pts',(10.9713541243576,38.9759859443964,38.4354476294543)); -#2548=CARTESIAN_POINT('Ctrl Pts',(10.4525985407996,38.5703578789072,36.0358334528533)); -#2549=CARTESIAN_POINT('Ctrl Pts',(10.361249402855,38.4936164391505,35.0061609031295)); -#2550=CARTESIAN_POINT('Ctrl Pts',(10.3612494028538,38.4936164391495,34.07774721072)); -#2551=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,43.)); -#2552=CARTESIAN_POINT('Origin',(90.0004740626184,97.4936164391495,37.5)); -#2553=CARTESIAN_POINT('',(84.0611006873783,96.9936164391495,37.)); -#2554=CARTESIAN_POINT('',(84.0611006873783,97.9936164391495,38.)); -#2555=CARTESIAN_POINT('',(84.0611006873783,99.4936164391495,39.5)); -#2556=CARTESIAN_POINT('',(79.0611006873783,97.9936164391495,38.)); -#2557=CARTESIAN_POINT('',(90.0004740626184,97.9936164391495,38.)); -#2558=CARTESIAN_POINT('',(79.0611006873783,96.9936164391495,37.)); -#2559=CARTESIAN_POINT('',(79.0611006873783,98.7436164391495,38.75)); -#2560=CARTESIAN_POINT('',(90.0004740626184,96.9936164391495,37.)); -#2561=CARTESIAN_POINT('Origin',(60.0004740626184,49.9936164391495,38.)); -#2562=CARTESIAN_POINT('',(84.0611006873783,99.9936164391495,38.)); -#2563=CARTESIAN_POINT('',(84.0611006873783,74.9936164391495,38.)); -#2564=CARTESIAN_POINT('',(79.0611006873783,99.9936164391495,38.)); -#2565=CARTESIAN_POINT('',(90.0004740626184,99.9936164391495,38.)); -#2566=CARTESIAN_POINT('',(79.0611006873783,96.9936164391495,38.)); -#2567=CARTESIAN_POINT('Origin',(92.3142081630939,97.4936164391495,37.5)); -#2568=CARTESIAN_POINT('',(67.1279422635694,96.9936164391495,37.)); -#2569=CARTESIAN_POINT('',(67.1279422635694,97.9936164391495,38.)); -#2570=CARTESIAN_POINT('',(67.1279422635694,99.4936164391495,39.5)); -#2571=CARTESIAN_POINT('',(62.1279422635694,97.9936164391495,38.)); -#2572=CARTESIAN_POINT('',(92.3142081630939,97.9936164391495,38.)); -#2573=CARTESIAN_POINT('',(62.1279422635694,96.9936164391495,37.)); -#2574=CARTESIAN_POINT('',(62.1279422635694,98.7436164391495,38.75)); -#2575=CARTESIAN_POINT('',(92.3142081630939,96.9936164391495,37.)); -#2576=CARTESIAN_POINT('Origin',(64.6279422635694,98.4936164391495,38.)); -#2577=CARTESIAN_POINT('',(67.1279422635694,99.9936164391495,38.)); -#2578=CARTESIAN_POINT('',(67.1279422635694,96.9936164391495,38.)); -#2579=CARTESIAN_POINT('',(62.1279422635694,99.9936164391495,38.)); -#2580=CARTESIAN_POINT('',(92.3142081630939,99.9936164391495,38.)); -#2581=CARTESIAN_POINT('',(62.1279422635694,99.9936164391495,38.)); -#2582=CARTESIAN_POINT('Origin',(28.0081801699978,20.4936164391495,22.902959612566)); -#2583=CARTESIAN_POINT('',(4.72047568081859,15.4936164391495,22.902959612566)); -#2584=CARTESIAN_POINT('Ctrl Pts',(4.72047568081852,15.4936164391495,22.9029596125664)); -#2585=CARTESIAN_POINT('Ctrl Pts',(4.61313408833191,15.4936164391495,23.536533570761)); -#2586=CARTESIAN_POINT('Ctrl Pts',(4.4901868604702,15.6197613959447,24.1666021960533)); -#2587=CARTESIAN_POINT('Ctrl Pts',(4.27247398656233,16.0080792179378,25.1628455055806)); -#2588=CARTESIAN_POINT('Ctrl Pts',(4.16673813291824,16.2557142595026,25.6038503951724)); -#2589=CARTESIAN_POINT('Ctrl Pts',(4.0607029606918,16.5682704868581,25.9999999989397)); -#2590=CARTESIAN_POINT('',(13.0004740626184,15.4936164391495,22.902959612566)); -#2591=CARTESIAN_POINT('',(28.0081801699978,15.4936164391495,22.902959612566)); -#2592=CARTESIAN_POINT('',(13.0004740626184,18.4936164391495,27.4855353075219)); -#2593=CARTESIAN_POINT('Origin',(13.0004740626184,20.4936164391495,22.902959612566)); -#2594=CARTESIAN_POINT('',(28.0081801699978,18.4936164391495,27.4855353075219)); -#2595=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2596=CARTESIAN_POINT('Ctrl Pts',(39.9944158018002,29.4967714226955,6.)); -#2597=CARTESIAN_POINT('Ctrl Pts',(39.9883571928808,29.499926410646,6.00000616741496)); -#2598=CARTESIAN_POINT('Ctrl Pts',(39.1208126994699,29.9517586987242,6.00130685875001)); -#2599=CARTESIAN_POINT('Ctrl Pts',(37.3855362673772,30.8571886536463,6.22891383680637)); -#2600=CARTESIAN_POINT('Ctrl Pts',(35.5230885024047,31.9759855733773,6.91597452562341)); -#2601=CARTESIAN_POINT('Ctrl Pts',(34.2588750771993,32.8437318521593,7.52321059643647)); -#2602=CARTESIAN_POINT('Ctrl Pts',(33.4035630940025,33.4763332191367,7.97596926897801)); -#2603=CARTESIAN_POINT('Ctrl Pts',(32.6228617238751,34.0865211363039,8.40268781944581)); -#2604=CARTESIAN_POINT('Ctrl Pts',(31.8938947606855,34.6704873569459,8.7921776186949)); -#2605=CARTESIAN_POINT('Ctrl Pts',(31.1970049888097,35.2253444417208,9.1402292831989)); -#2606=CARTESIAN_POINT('Ctrl Pts',(30.5160301472463,35.7481762920859,9.44740641544435)); -#2607=CARTESIAN_POINT('Ctrl Pts',(29.8348844184241,36.2383611705986,9.71768840478253)); -#2608=CARTESIAN_POINT('Ctrl Pts',(28.9174312912226,36.8420582718901,10.0324554817267)); -#2609=CARTESIAN_POINT('Ctrl Pts',(27.7427232800933,37.4883119783757,10.3476569801076)); -#2610=CARTESIAN_POINT('Ctrl Pts',(26.2956538443497,38.057818981786,10.6286205331512)); -#2611=CARTESIAN_POINT('Ctrl Pts',(24.7865907885959,38.4145036459684,10.8438603074531)); -#2612=CARTESIAN_POINT('Ctrl Pts',(23.7702470138653,38.4936164391495,10.9522882305288)); -#2613=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,38.4936164391495,11.)); -#2614=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2615=CARTESIAN_POINT('Ctrl Pts',(39.994773910671,29.4969378024704,6.0000033305456)); -#2616=CARTESIAN_POINT('Ctrl Pts',(39.9890806558321,29.5002608180055,6.00000061300045)); -#2617=CARTESIAN_POINT('Ctrl Pts',(39.173204605845,29.9759799925287,6.00172090351493)); -#2618=CARTESIAN_POINT('Ctrl Pts',(37.5404844487908,30.9292638158032,6.18452729387213)); -#2619=CARTESIAN_POINT('Ctrl Pts',(35.742385168514,32.0925136494817,6.74594809388204)); -#2620=CARTESIAN_POINT('Ctrl Pts',(34.4884807057042,32.9822230661373,7.24828847369516)); -#2621=CARTESIAN_POINT('Ctrl Pts',(33.6260706232742,33.6248223022348,7.62607117916684)); -#2622=CARTESIAN_POINT('Ctrl Pts',(32.8273218377727,34.2388848364395,7.98531347280787)); -#2623=CARTESIAN_POINT('Ctrl Pts',(32.0743801485796,34.8215419286411,8.3160302708694)); -#2624=CARTESIAN_POINT('Ctrl Pts',(31.350752870591,35.3707788012714,8.61401262589609)); -#2625=CARTESIAN_POINT('Ctrl Pts',(30.6428717539194,35.8846270562873,8.87901492301121)); -#2626=CARTESIAN_POINT('Ctrl Pts',(29.9360987972829,36.3632171383505,9.11384421110247)); -#2627=CARTESIAN_POINT('Ctrl Pts',(28.9875734007122,36.948967744478,9.38912211931378)); -#2628=CARTESIAN_POINT('Ctrl Pts',(27.7817591142993,37.5699405211906,9.66739014534099)); -#2629=CARTESIAN_POINT('Ctrl Pts',(26.3107096704157,38.10918315561,9.9177186818421)); -#2630=CARTESIAN_POINT('Ctrl Pts',(24.7888472717013,38.4376536244268,10.1109256698001)); -#2631=CARTESIAN_POINT('Ctrl Pts',(23.7702588436525,38.5007522055309,10.208842752513)); -#2632=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,38.4936164391495,10.2520017491453)); -#2633=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2634=CARTESIAN_POINT('Ctrl Pts',(39.9954965069817,29.4972712349619,6.00000261398405)); -#2635=CARTESIAN_POINT('Ctrl Pts',(39.9905218928313,29.5009289773233,5.99999958507885)); -#2636=CARTESIAN_POINT('Ctrl Pts',(39.2779470664815,30.0244416363786,6.001118275454)); -#2637=CARTESIAN_POINT('Ctrl Pts',(37.8509602160985,31.0734971761674,6.10956829832597)); -#2638=CARTESIAN_POINT('Ctrl Pts',(36.205612796643,32.3380993152836,6.44942884369389)); -#2639=CARTESIAN_POINT('Ctrl Pts',(35.0017082697248,33.2912176200284,6.76037000181876)); -#2640=CARTESIAN_POINT('Ctrl Pts',(34.1478538564063,33.9724669049104,6.9974975417299)); -#2641=CARTESIAN_POINT('Ctrl Pts',(33.3351489339671,34.6163855627982,7.2263702793728)); -#2642=CARTESIAN_POINT('Ctrl Pts',(32.5528729441838,35.2209427032578,7.44005852409122)); -#2643=CARTESIAN_POINT('Ctrl Pts',(31.790176926686,35.7850446698137,7.63522245015821)); -#2644=CARTESIAN_POINT('Ctrl Pts',(31.0377787841712,36.3077597606331,7.81096524252458)); -#2645=CARTESIAN_POINT('Ctrl Pts',(30.2839331903123,36.7901812966092,7.96849839832527)); -#2646=CARTESIAN_POINT('Ctrl Pts',(29.2719318235317,37.3753794496946,8.15512886659314)); -#2647=CARTESIAN_POINT('Ctrl Pts',(27.9899453408396,37.9870063060587,8.34664877161346)); -#2648=CARTESIAN_POINT('Ctrl Pts',(26.4386848516229,38.5064185471662,8.52151042550724)); -#2649=CARTESIAN_POINT('Ctrl Pts',(24.8466697638246,38.8093204499796,8.65804133911248)); -#2650=CARTESIAN_POINT('Ctrl Pts',(23.788506494996,38.8530003406189,8.72788966448662)); -#2651=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,38.8358262154835,8.75877762227125)); -#2652=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2653=CARTESIAN_POINT('Ctrl Pts',(39.9967550352423,29.4978556120456,6.00000583323355)); -#2654=CARTESIAN_POINT('Ctrl Pts',(39.9930496416658,29.502097381301,5.99999282393132)); -#2655=CARTESIAN_POINT('Ctrl Pts',(39.4611573079655,30.1092822136483,6.00137706660943)); -#2656=CARTESIAN_POINT('Ctrl Pts',(38.3954459866349,31.3260418836556,6.0224748437324)); -#2657=CARTESIAN_POINT('Ctrl Pts',(37.0797327916761,32.7997680236474,6.09656604496241)); -#2658=CARTESIAN_POINT('Ctrl Pts',(36.0411437799025,33.9160687922164,6.1655577937688)); -#2659=CARTESIAN_POINT('Ctrl Pts',(35.2669731155256,34.7165106987294,6.21940287345771)); -#2660=CARTESIAN_POINT('Ctrl Pts',(34.4934103745404,35.475454253288,6.27237017351739)); -#2661=CARTESIAN_POINT('Ctrl Pts',(33.7167646480316,36.1899420434298,6.3227888677439)); -#2662=CARTESIAN_POINT('Ctrl Pts',(32.9315765203361,36.858226071008,6.36965906904481)); -#2663=CARTESIAN_POINT('Ctrl Pts',(32.1338768230091,37.4787747086545,6.41254873225034)); -#2664=CARTESIAN_POINT('Ctrl Pts',(31.3153707755913,38.0525344974885,6.45156267968486)); -#2665=CARTESIAN_POINT('Ctrl Pts',(30.1958352908796,38.7497287605796,6.49840433026044)); -#2666=CARTESIAN_POINT('Ctrl Pts',(28.7465452696609,39.4802787132558,6.54739642200754)); -#2667=CARTESIAN_POINT('Ctrl Pts',(26.9630541056083,40.1033234503386,6.59288419718877)); -#2668=CARTESIAN_POINT('Ctrl Pts',(25.1141931357329,40.4698552927607,6.6289565754516)); -#2669=CARTESIAN_POINT('Ctrl Pts',(23.877511530815,40.5265074458708,6.64762700490878)); -#2670=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,40.5085460212628,6.65587849098222)); -#2671=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2672=CARTESIAN_POINT('Ctrl Pts',(39.9976622525481,29.4982734095826,6.)); -#2673=CARTESIAN_POINT('Ctrl Pts',(39.9948476162313,29.5029315482599,6.)); -#2674=CARTESIAN_POINT('Ctrl Pts',(39.5920544711647,30.1699056690401,6.00000000000011)); -#2675=CARTESIAN_POINT('Ctrl Pts',(38.7848998515179,31.5064727119034,6.)); -#2676=CARTESIAN_POINT('Ctrl Pts',(37.7382663115258,33.1468339772456,6.00000000000002)); -#2677=CARTESIAN_POINT('Ctrl Pts',(36.8623910613646,34.4090477231793,6.00000000000001)); -#2678=CARTESIAN_POINT('Ctrl Pts',(36.1819712121847,35.3241929487662,6.00000000000001)); -#2679=CARTESIAN_POINT('Ctrl Pts',(35.4743085866524,36.201950465422,6.00000000000001)); -#2680=CARTESIAN_POINT('Ctrl Pts',(34.7360846951163,37.0375144061784,6.00000000000001)); -#2681=CARTESIAN_POINT('Ctrl Pts',(33.9637363294427,37.8274203546821,6.00000000000001)); -#2682=CARTESIAN_POINT('Ctrl Pts',(33.1549307996567,38.5682556726388,6.)); -#2683=CARTESIAN_POINT('Ctrl Pts',(32.3030369004806,39.2597872371955,6.)); -#2684=CARTESIAN_POINT('Ctrl Pts',(31.1114679477647,40.1078654472593,6.)); -#2685=CARTESIAN_POINT('Ctrl Pts',(29.5251877666846,41.0097551574773,6.)); -#2686=CARTESIAN_POINT('Ctrl Pts',(27.5220301637858,41.7966125381936,6.)); -#2687=CARTESIAN_POINT('Ctrl Pts',(25.4082854998464,42.280471841687,6.)); -#2688=CARTESIAN_POINT('Ctrl Pts',(23.9766812713201,42.3784420226108,6.)); -#2689=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,42.3716190628674,6.)); -#2690=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2691=CARTESIAN_POINT('Ctrl Pts',(39.9982022148121,29.498524191331,6.)); -#2692=CARTESIAN_POINT('Ctrl Pts',(39.995930405211,29.5034319503663,6.)); -#2693=CARTESIAN_POINT('Ctrl Pts',(39.6705646920359,30.2062821762655,6.00000000000011)); -#2694=CARTESIAN_POINT('Ctrl Pts',(39.0185691571888,31.6147287728112,6.)); -#2695=CARTESIAN_POINT('Ctrl Pts',(38.1335243420846,33.3550795368372,6.00000000000002)); -#2696=CARTESIAN_POINT('Ctrl Pts',(37.3551122291609,34.7049070816091,6.00000000000001)); -#2697=CARTESIAN_POINT('Ctrl Pts',(36.7312627323239,35.6889536524629,6.00000000000001)); -#2698=CARTESIAN_POINT('Ctrl Pts',(36.0631748805851,36.6381348569452,6.00000000000001)); -#2699=CARTESIAN_POINT('Ctrl Pts',(35.3482681910443,37.5465267557217,6.00000000000001)); -#2700=CARTESIAN_POINT('Ctrl Pts',(34.5837590738114,38.4096377764827,6.00000000000001)); -#2701=CARTESIAN_POINT('Ctrl Pts',(33.7685035158147,39.2229317659395,6.)); -#2702=CARTESIAN_POINT('Ctrl Pts',(32.8966744737608,39.9854415769592,6.)); -#2703=CARTESIAN_POINT('Ctrl Pts',(31.6620690893084,40.924525956746,6.)); -#2704=CARTESIAN_POINT('Ctrl Pts',(29.9935980414335,41.9298095001681,6.)); -#2705=CARTESIAN_POINT('Ctrl Pts',(27.8584657556752,42.8157092832507,6.)); -#2706=CARTESIAN_POINT('Ctrl Pts',(25.5854012369687,43.3705520942011,6.)); -#2707=CARTESIAN_POINT('Ctrl Pts',(24.0363705492771,43.4936164391495,6.)); -#2708=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,43.4936164391495,6.)); -#2709=CARTESIAN_POINT('',(23.2672735319369,43.4936164391495,6.)); -#2710=CARTESIAN_POINT('',(23.2672735319369,38.4936164391495,11.)); -#2711=CARTESIAN_POINT('Origin',(23.2672735319369,43.4936164391495,11.)); -#2712=CARTESIAN_POINT('',(40.0004740626184,29.4936164391495,6.)); -#2713=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,38.4936164391495,11.)); -#2714=CARTESIAN_POINT('Ctrl Pts',(23.7702470138653,38.4936164391495,10.9522882305288)); -#2715=CARTESIAN_POINT('Ctrl Pts',(24.7865907885959,38.4145036459684,10.8438603074531)); -#2716=CARTESIAN_POINT('Ctrl Pts',(26.2956538443497,38.057818981786,10.6286205331512)); -#2717=CARTESIAN_POINT('Ctrl Pts',(27.7427232800933,37.4883119783757,10.3476569801076)); -#2718=CARTESIAN_POINT('Ctrl Pts',(28.9174312912226,36.8420582718901,10.0324554817267)); -#2719=CARTESIAN_POINT('Ctrl Pts',(29.8348844184241,36.2383611705986,9.71768840478253)); -#2720=CARTESIAN_POINT('Ctrl Pts',(30.5160301472463,35.7481762920859,9.44740641544435)); -#2721=CARTESIAN_POINT('Ctrl Pts',(31.1970049888097,35.2253444417208,9.1402292831989)); -#2722=CARTESIAN_POINT('Ctrl Pts',(31.8938947606855,34.6704873569459,8.7921776186949)); -#2723=CARTESIAN_POINT('Ctrl Pts',(32.6228617238751,34.0865211363039,8.40268781944581)); -#2724=CARTESIAN_POINT('Ctrl Pts',(33.4035630940025,33.4763332191367,7.97596926897801)); -#2725=CARTESIAN_POINT('Ctrl Pts',(34.2588750771993,32.8437318521593,7.52321059643647)); -#2726=CARTESIAN_POINT('Ctrl Pts',(35.5230885024047,31.9759855733773,6.91597452562341)); -#2727=CARTESIAN_POINT('Ctrl Pts',(37.3855362673772,30.8571886536463,6.22891383680637)); -#2728=CARTESIAN_POINT('Ctrl Pts',(39.1208126994699,29.9517586987242,6.00130685875001)); -#2729=CARTESIAN_POINT('Ctrl Pts',(39.9883571928808,29.499926410646,6.00000616741496)); -#2730=CARTESIAN_POINT('Ctrl Pts',(39.9944158018002,29.4967714226955,6.)); -#2731=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2732=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,29.4936164391495,6.)); -#2733=CARTESIAN_POINT('Ctrl Pts',(39.9982022148121,29.498524191331,6.)); -#2734=CARTESIAN_POINT('Ctrl Pts',(39.995930405211,29.5034319503663,6.)); -#2735=CARTESIAN_POINT('Ctrl Pts',(39.6705646920359,30.2062821762655,6.00000000000011)); -#2736=CARTESIAN_POINT('Ctrl Pts',(39.0185691571888,31.6147287728112,6.)); -#2737=CARTESIAN_POINT('Ctrl Pts',(38.1335243420846,33.3550795368372,6.00000000000002)); -#2738=CARTESIAN_POINT('Ctrl Pts',(37.3551122291609,34.7049070816091,6.00000000000001)); -#2739=CARTESIAN_POINT('Ctrl Pts',(36.7312627323239,35.6889536524629,6.00000000000001)); -#2740=CARTESIAN_POINT('Ctrl Pts',(36.0631748805851,36.6381348569452,6.00000000000001)); -#2741=CARTESIAN_POINT('Ctrl Pts',(35.3482681910443,37.5465267557217,6.00000000000001)); -#2742=CARTESIAN_POINT('Ctrl Pts',(34.5837590738114,38.4096377764827,6.00000000000001)); -#2743=CARTESIAN_POINT('Ctrl Pts',(33.7685035158147,39.2229317659395,6.)); -#2744=CARTESIAN_POINT('Ctrl Pts',(32.8966744737608,39.9854415769592,6.)); -#2745=CARTESIAN_POINT('Ctrl Pts',(31.6620690893084,40.924525956746,6.)); -#2746=CARTESIAN_POINT('Ctrl Pts',(29.9935980414335,41.9298095001681,6.)); -#2747=CARTESIAN_POINT('Ctrl Pts',(27.8584657556752,42.8157092832507,6.)); -#2748=CARTESIAN_POINT('Ctrl Pts',(25.5854012369687,43.3705520942011,6.)); -#2749=CARTESIAN_POINT('Ctrl Pts',(24.0363705492771,43.4936164391495,6.)); -#2750=CARTESIAN_POINT('Ctrl Pts',(23.2672735319369,43.4936164391495,6.)); -#2751=CARTESIAN_POINT('Origin',(30.0004740626184,43.4936164391495,11.)); -#2752=CARTESIAN_POINT('',(23.0004740626184,43.4936164391495,6.)); -#2753=CARTESIAN_POINT('',(23.0004740626184,38.4936164391495,11.)); -#2754=CARTESIAN_POINT('Origin',(23.0004740626184,43.4936164391495,11.)); -#2755=CARTESIAN_POINT('',(30.0004740626184,38.4936164391495,11.)); -#2756=CARTESIAN_POINT('',(30.0004740626184,43.4936164391495,6.)); -#2757=CARTESIAN_POINT('Origin',(23.0004740626184,43.4936164391495,26.)); -#2758=CARTESIAN_POINT('Origin',(23.0004740626184,38.4936164391495,26.)); -#2759=CARTESIAN_POINT('',(12.4648203097656,43.4936164391495,43.)); -#2760=CARTESIAN_POINT('Origin',(23.0004740626184,43.4936164391495,26.)); -#2761=CARTESIAN_POINT('Ctrl Pts',(12.4648203097656,43.4936164391495,43.)); -#2762=CARTESIAN_POINT('Ctrl Pts',(12.4648203097656,43.136100138554,43.)); -#2763=CARTESIAN_POINT('Ctrl Pts',(12.557854106117,42.717289326597,43.)); -#2764=CARTESIAN_POINT('Ctrl Pts',(12.8782848008871,41.983825586232,43.)); -#2765=CARTESIAN_POINT('Ctrl Pts',(13.1957040412678,41.5575639388087,43.)); -#2766=CARTESIAN_POINT('Ctrl Pts',(13.6561479094959,41.1108986081454,43.)); -#2767=CARTESIAN_POINT('Ctrl Pts',(13.8154740405713,40.9751378347344,43.)); -#2768=CARTESIAN_POINT('Ctrl Pts',(13.9861661847282,40.8469705633308,43.)); -#2769=CARTESIAN_POINT('Origin',(23.0004740626184,74.9936164391495,26.)); -#2770=CARTESIAN_POINT('',(23.0004740626184,76.9936164391495,6.)); -#2771=CARTESIAN_POINT('',(23.0004740626184,74.9936164391495,6.)); -#2772=CARTESIAN_POINT('',(3.00047406261837,76.9936164391495,26.)); -#2773=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,26.)); -#2774=CARTESIAN_POINT('',(12.4648203097656,59.9936164391495,43.)); -#2775=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,26.)); -#2776=CARTESIAN_POINT('',(12.4648203097656,74.9936164391495,43.)); -#2777=CARTESIAN_POINT('Origin',(60.0004740626184,49.9936164391495,6.)); -#2778=CARTESIAN_POINT('',(40.0004740626184,23.4936164391495,6.)); -#2779=CARTESIAN_POINT('',(40.0004740626184,44.2436164391495,6.)); -#2780=CARTESIAN_POINT('',(39.499985064478,22.993616439149,6.)); -#2781=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,23.4936164391495,6.)); -#2782=CARTESIAN_POINT('Ctrl Pts',(39.8360484402054,23.3291908167365,6.)); -#2783=CARTESIAN_POINT('Ctrl Pts',(39.6691683980082,23.1623043593759,6.)); -#2784=CARTESIAN_POINT('Ctrl Pts',(39.499985064478,22.993616439149,6.)); -#2785=CARTESIAN_POINT('',(94.0846903722755,22.9936164391495,6.)); -#2786=CARTESIAN_POINT('',(30.0004740626184,22.9936164391495,6.)); -#2787=CARTESIAN_POINT('',(97.0004740626184,39.7920284291089,6.)); -#2788=CARTESIAN_POINT('Origin',(106.484287139913,29.4936164391495,6.)); -#2789=CARTESIAN_POINT('',(97.0004740626183,76.9936164391495,6.)); -#2790=CARTESIAN_POINT('',(97.0004740626183,24.9936164391495,6.)); -#2791=CARTESIAN_POINT('',(90.0004740626184,76.9936164391495,6.)); -#2792=CARTESIAN_POINT('Origin',(97.0004740626183,43.4936164391495,26.)); -#2793=CARTESIAN_POINT('',(107.536127815471,43.4936164391495,43.)); -#2794=CARTESIAN_POINT('',(106.014781940707,40.8469705632079,42.9999999999041)); -#2795=CARTESIAN_POINT('Ctrl Pts',(106.014781940576,40.8469705633816,43.)); -#2796=CARTESIAN_POINT('Ctrl Pts',(106.185474084708,40.9751378347702,43.)); -#2797=CARTESIAN_POINT('Ctrl Pts',(106.34480021576,41.1108986081637,43.)); -#2798=CARTESIAN_POINT('Ctrl Pts',(106.805244083969,41.5575639388087,43.)); -#2799=CARTESIAN_POINT('Ctrl Pts',(107.12266332435,41.983825586232,43.)); -#2800=CARTESIAN_POINT('Ctrl Pts',(107.44309401912,42.717289326597,43.)); -#2801=CARTESIAN_POINT('Ctrl Pts',(107.536127815471,43.136100138554,43.)); -#2802=CARTESIAN_POINT('Ctrl Pts',(107.536127815471,43.4936164391495,43.)); -#2803=CARTESIAN_POINT('',(109.645558165678,43.4936164391495,10.5047798329111)); -#2804=CARTESIAN_POINT('Origin',(97.0004740626183,43.4936164391495,26.)); -#2805=CARTESIAN_POINT('',(106.484287139913,38.4936164391495,14.3785848746833)); -#2806=CARTESIAN_POINT('Origin',(106.484287139913,43.4936164391495,14.3785848746833)); -#2807=CARTESIAN_POINT('',(109.639698722383,38.4936164391495,34.0777472107017)); -#2808=CARTESIAN_POINT('Origin',(97.0004740626183,38.4936164391495,26.)); -#2809=CARTESIAN_POINT('Ctrl Pts',(109.639698722383,38.4936164391495,34.0777472107015)); -#2810=CARTESIAN_POINT('Ctrl Pts',(109.639698722383,38.4936164391495,34.2138179921519)); -#2811=CARTESIAN_POINT('Ctrl Pts',(109.637723849258,38.49522751274,34.3522910293983)); -#2812=CARTESIAN_POINT('Ctrl Pts',(109.593172271257,38.5315272930247,35.8787258814707)); -#2813=CARTESIAN_POINT('Ctrl Pts',(109.355634207025,38.7354541407093,37.3783231428836)); -#2814=CARTESIAN_POINT('Ctrl Pts',(108.318151154611,39.4946212255332,40.1690028128762)); -#2815=CARTESIAN_POINT('Ctrl Pts',(107.608160727997,39.9836945611143,41.3621980335508)); -#2816=CARTESIAN_POINT('Ctrl Pts',(106.45044063724,40.6217875471083,42.5956040447046)); -#2817=CARTESIAN_POINT('Ctrl Pts',(106.237132006349,40.7347041546398,42.8028170162157)); -#2818=CARTESIAN_POINT('Ctrl Pts',(106.014781940665,40.846970563229,42.9999999998451)); -#2819=CARTESIAN_POINT('Ctrl Pts',(97.0004740626184,39.7920284291089,6.)); -#2820=CARTESIAN_POINT('Ctrl Pts',(98.0453901253992,40.5137262474622,6.)); -#2821=CARTESIAN_POINT('Ctrl Pts',(100.357906911184,41.7770898059973,6.16586399025242)); -#2822=CARTESIAN_POINT('Ctrl Pts',(104.858373996139,43.2071925019152,7.36312973369533)); -#2823=CARTESIAN_POINT('Ctrl Pts',(107.99474397336,43.4936164391495,9.15761057198501)); -#2824=CARTESIAN_POINT('Ctrl Pts',(109.645558165677,43.4936164391495,10.504779832911)); -#2825=CARTESIAN_POINT('Ctrl Pts',(97.5071794621362,39.2418002751753,6.)); -#2826=CARTESIAN_POINT('Ctrl Pts',(98.498805160044,39.9373419239661,6.02654571653645)); -#2827=CARTESIAN_POINT('Ctrl Pts',(100.698261725503,41.1574496808177,6.23383191576527)); -#2828=CARTESIAN_POINT('Ctrl Pts',(105.002852128644,42.526869241282,7.4423217675676)); -#2829=CARTESIAN_POINT('Ctrl Pts',(108.034345606702,42.772298484265,9.19004828037887)); -#2830=CARTESIAN_POINT('Ctrl Pts',(109.645558165677,42.7456181882948,10.504779832911)); -#2831=CARTESIAN_POINT('Ctrl Pts',(98.5184029950854,38.1437191055679,6.34237269264711)); -#2832=CARTESIAN_POINT('Ctrl Pts',(99.3857637192202,38.7869031810658,6.40612148529961)); -#2833=CARTESIAN_POINT('Ctrl Pts',(101.325597330099,39.9204621478728,6.66772019707581)); -#2834=CARTESIAN_POINT('Ctrl Pts',(105.177635056126,41.1685576472152,7.8707973278105)); -#2835=CARTESIAN_POINT('Ctrl Pts',(107.941133193661,41.3325341820625,9.5238998440285)); -#2836=CARTESIAN_POINT('Ctrl Pts',(109.429091591022,41.25285052766,10.7700368454993)); -#2837=CARTESIAN_POINT('Ctrl Pts',(99.9429288815836,36.5968355525122,8.0150576181553)); -#2838=CARTESIAN_POINT('Ctrl Pts',(100.588651597472,37.1497238651165,8.08172255308333)); -#2839=CARTESIAN_POINT('Ctrl Pts',(102.056092921391,38.1293047501981,8.33299942241544)); -#2840=CARTESIAN_POINT('Ctrl Pts',(105.037685799071,39.1863148625083,9.43652203213795)); -#2841=CARTESIAN_POINT('Ctrl Pts',(107.204590241641,39.2683610498498,10.9361010210453)); -#2842=CARTESIAN_POINT('Ctrl Pts',(108.371529512973,39.149966144719,12.0659679050453)); -#2843=CARTESIAN_POINT('Ctrl Pts',(100.387550161652,36.1140241469806,9.87800262371793)); -#2844=CARTESIAN_POINT('Ctrl Pts',(100.922425266944,36.6073072602733,9.9034606109498)); -#2845=CARTESIAN_POINT('Ctrl Pts',(102.130887487634,37.478222878473,10.0759443623834)); -#2846=CARTESIAN_POINT('Ctrl Pts',(104.552616989892,38.4357543089603,11.051338866628)); -#2847=CARTESIAN_POINT('Ctrl Pts',(106.280099839415,38.5572722486454,12.4544073857941)); -#2848=CARTESIAN_POINT('Ctrl Pts',(107.193674699238,38.4936164391495,13.5093050560639)); -#2849=CARTESIAN_POINT('Ctrl Pts',(100.387550161652,36.1140241469806,11.)); -#2850=CARTESIAN_POINT('Ctrl Pts',(100.891348977636,36.5779727444936,11.)); -#2851=CARTESIAN_POINT('Ctrl Pts',(102.006312427925,37.3901350321231,11.1243979926893)); -#2852=CARTESIAN_POINT('Ctrl Pts',(104.176180486742,38.3094867652133,12.0223473002715)); -#2853=CARTESIAN_POINT('Ctrl Pts',(105.688358868616,38.4936164391495,13.3682079289886)); -#2854=CARTESIAN_POINT('Ctrl Pts',(106.484287139913,38.4936164391495,14.3785848746833)); -#2855=CARTESIAN_POINT('Ctrl Pts',(109.645558165677,43.4936164391495,10.504779832911)); -#2856=CARTESIAN_POINT('Ctrl Pts',(107.99474397336,43.4936164391495,9.15761057198501)); -#2857=CARTESIAN_POINT('Ctrl Pts',(104.858373996139,43.2071925019152,7.36312973369533)); -#2858=CARTESIAN_POINT('Ctrl Pts',(100.357906911184,41.7770898059973,6.16586399025242)); -#2859=CARTESIAN_POINT('Ctrl Pts',(98.0453901253992,40.5137262474622,6.)); -#2860=CARTESIAN_POINT('Ctrl Pts',(97.0004740626184,39.7920284291089,6.)); -#2861=CARTESIAN_POINT('',(100.387550161652,36.1140241469806,11.)); -#2862=CARTESIAN_POINT('Origin',(97.0004740626184,39.7920284291089,11.)); -#2863=CARTESIAN_POINT('Ctrl Pts',(100.387550161652,36.1140241469806,11.)); -#2864=CARTESIAN_POINT('Ctrl Pts',(100.891348977636,36.5779727444936,11.)); -#2865=CARTESIAN_POINT('Ctrl Pts',(102.006312427925,37.3901350321231,11.1243979926893)); -#2866=CARTESIAN_POINT('Ctrl Pts',(104.176180486742,38.3094867652133,12.0223473002715)); -#2867=CARTESIAN_POINT('Ctrl Pts',(105.688358868616,38.4936164391495,13.3682079289886)); -#2868=CARTESIAN_POINT('Ctrl Pts',(106.484287139913,38.4936164391495,14.3785848746833)); -#2869=CARTESIAN_POINT('Origin',(106.484287139913,29.4936164391495,11.)); -#2870=CARTESIAN_POINT('',(97.4842871399127,29.4936164391495,11.)); -#2871=CARTESIAN_POINT('',(97.4842871399127,26.3295899509345,8.73987849054458)); -#2872=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,29.4936164391495,11.)); -#2873=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,28.5546283271856,10.3001195838615)); -#2874=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,27.5227102746701,9.52818235524849)); -#2875=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,26.4117865376182,8.79381216675662)); -#2876=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,26.3707146382042,8.76679392731771)); -#2877=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,26.3295899509345,8.73987849054458)); -#2878=CARTESIAN_POINT('Origin',(106.484287139913,29.4936164391495,11.)); -#2879=CARTESIAN_POINT('',(97.0004740626183,20.763525035236,6.12472157854912)); -#2880=CARTESIAN_POINT('Ctrl Pts',(97.0004740626183,20.763525035236,6.12472157854913)); -#2881=CARTESIAN_POINT('Ctrl Pts',(95.9707412108201,21.4109636589934,6.05207619070667)); -#2882=CARTESIAN_POINT('Ctrl Pts',(94.9997458376533,22.1550023467113,6.00064539227317)); -#2883=CARTESIAN_POINT('Ctrl Pts',(94.0959764061052,22.9832561190837,6.00000201377156)); -#2884=CARTESIAN_POINT('Ctrl Pts',(94.0903319501738,22.9884347138849,6.)); -#2885=CARTESIAN_POINT('Ctrl Pts',(94.0846903722755,22.9936164391495,6.)); -#2886=CARTESIAN_POINT('',(97.7360363852776,20.3640397699099,6.18726988494155)); -#2887=CARTESIAN_POINT('Ctrl Pts',(97.7360363854014,20.3640397701782,6.18726988496275)); -#2888=CARTESIAN_POINT('Ctrl Pts',(97.4916588867611,20.4787426269775,6.16297364543168)); -#2889=CARTESIAN_POINT('Ctrl Pts',(97.2350658891222,20.6172404484853,6.14144990053419)); -#2890=CARTESIAN_POINT('Ctrl Pts',(97.0137807839681,20.7551766491304,6.12566298721456)); -#2891=CARTESIAN_POINT('Ctrl Pts',(97.0071313697563,20.759339291561,6.12519123692525)); -#2892=CARTESIAN_POINT('Ctrl Pts',(97.0004740626183,20.763525035236,6.12472157854912)); -#2893=CARTESIAN_POINT('',(97.2973680857115,23.4936164391495,7.02064431964284)); -#2894=CARTESIAN_POINT('Ctrl Pts',(97.2973680857115,23.4936164391495,7.02064431964284)); -#2895=CARTESIAN_POINT('Ctrl Pts',(97.2287552502398,22.8491127302178,6.70883244053154)); -#2896=CARTESIAN_POINT('Ctrl Pts',(97.2480236557175,22.1735658342084,6.50944357723688)); -#2897=CARTESIAN_POINT('Ctrl Pts',(97.4734627965908,21.1004964300881,6.28989557330517)); -#2898=CARTESIAN_POINT('Ctrl Pts',(97.5885212426967,20.7268926951313,6.23231645483369)); -#2899=CARTESIAN_POINT('Ctrl Pts',(97.7360363852294,20.3640397698989,6.18726988487244)); -#2900=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,26.3295899509345,8.73987849054457)); -#2901=CARTESIAN_POINT('Ctrl Pts',(97.4842871399127,25.4139175849884,8.14058586835642)); -#2902=CARTESIAN_POINT('Ctrl Pts',(97.4084215484418,24.5367794002383,7.52532815405491)); -#2903=CARTESIAN_POINT('Ctrl Pts',(97.2973680857115,23.4936164391495,7.02064431964284)); -#2904=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,26.)); -#2905=CARTESIAN_POINT('',(97.0004740626183,2.99361643914949,26.)); -#2906=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,26.)); -#2907=CARTESIAN_POINT('',(115.540970280357,15.4936164391495,26.)); -#2908=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,26.)); -#2909=CARTESIAN_POINT('',(105.484287139913,15.4936164391495,9.51440278092636)); -#2910=CARTESIAN_POINT('Origin',(97.0004740626183,15.4936164391495,26.)); -#2911=CARTESIAN_POINT('',(103.934963887012,15.6450753353506,8.73987849054458)); -#2912=CARTESIAN_POINT('Ctrl Pts',(105.484287139913,15.4936164391495,9.51440278092636)); -#2913=CARTESIAN_POINT('Ctrl Pts',(104.983816461677,15.4936164391495,9.25685071117745)); -#2914=CARTESIAN_POINT('Ctrl Pts',(104.46030032277,15.5413725013141,8.9950913350331)); -#2915=CARTESIAN_POINT('Ctrl Pts',(103.934963887012,15.6450753353506,8.73987849054457)); -#2916=CARTESIAN_POINT('Ctrl Pts',(103.934963887012,15.6450753353506,8.73987849054458)); -#2917=CARTESIAN_POINT('Ctrl Pts',(103.909220418339,15.6501571657313,8.72737209765091)); -#2918=CARTESIAN_POINT('Ctrl Pts',(103.883484936958,15.6553658279784,8.71488835586358)); -#2919=CARTESIAN_POINT('Ctrl Pts',(103.309472688407,15.7744064098163,8.43686765603582)); -#2920=CARTESIAN_POINT('Ctrl Pts',(102.767639330083,15.9483070397164,8.1835530083367)); -#2921=CARTESIAN_POINT('Ctrl Pts',(101.806050169316,16.3521659297325,7.74859740888739)); -#2922=CARTESIAN_POINT('Ctrl Pts',(101.296233577183,16.6188497938277,7.52608991655925)); -#2923=CARTESIAN_POINT('Ctrl Pts',(100.327975741582,17.2555595379706,7.12199722068921)); -#2924=CARTESIAN_POINT('Ctrl Pts',(99.8696466924241,17.6257256245103,6.94036412331915)); -#2925=CARTESIAN_POINT('Ctrl Pts',(99.1169097736166,18.3505290196388,6.65487413381963)); -#2926=CARTESIAN_POINT('Ctrl Pts',(98.7480750152988,18.7665808005841,6.52179746492318)); -#2927=CARTESIAN_POINT('Ctrl Pts',(98.1605370128125,19.5860469164639,6.32121308941232)); -#2928=CARTESIAN_POINT('Ctrl Pts',(97.9263809681741,19.9768839661466,6.2457204955718)); -#2929=CARTESIAN_POINT('Ctrl Pts',(97.7360363852672,20.3640397698991,6.18726988499482)); -#2930=CARTESIAN_POINT('Origin',(30.0004740626184,22.9936164391495,26.)); -#2931=CARTESIAN_POINT('',(25.3983540375761,15.4936164391495,7.45950378226085)); -#2932=CARTESIAN_POINT('Ctrl Pts',(39.499985064478,22.993616439149,6.)); -#2933=CARTESIAN_POINT('Ctrl Pts',(39.2959337021491,22.7901625928837,6.00000000000001)); -#2934=CARTESIAN_POINT('Ctrl Pts',(38.9265282007434,22.471878114038,6.00413732479424)); -#2935=CARTESIAN_POINT('Ctrl Pts',(36.9816956192418,20.8269792542456,6.07395598956436)); -#2936=CARTESIAN_POINT('Ctrl Pts',(35.1209259821541,19.3363264420002,6.29660130786266)); -#2937=CARTESIAN_POINT('Ctrl Pts',(31.1771982921021,16.8868603660441,6.91340748792335)); -#2938=CARTESIAN_POINT('Ctrl Pts',(29.2817669543436,16.0548151424614,7.23822331578942)); -#2939=CARTESIAN_POINT('Ctrl Pts',(26.7455641607613,15.5646606242801,7.43041327578958)); -#2940=CARTESIAN_POINT('Ctrl Pts',(26.0734722525958,15.4936164391495,7.45950378226085)); -#2941=CARTESIAN_POINT('Ctrl Pts',(25.3983540375762,15.4936164391495,7.45950378226085)); -#2942=CARTESIAN_POINT('',(23.0004740626184,15.4936164391495,7.45950378226085)); -#2943=CARTESIAN_POINT('',(30.0004740626184,15.4936164391495,7.45950378226085)); -#2944=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,26.)); -#2945=CARTESIAN_POINT('',(30.0004740626184,2.99361643914949,26.)); -#2946=CARTESIAN_POINT('Origin',(97.0004740626183,24.9936164391495,26.)); -#2947=CARTESIAN_POINT('',(107.536127815471,59.9936164391495,43.)); -#2948=CARTESIAN_POINT('',(107.536127815471,24.9936164391495,43.)); -#2949=CARTESIAN_POINT('',(117.000474062618,76.9936164391495,26.)); -#2950=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,26.)); -#2951=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,26.)); -#2952=CARTESIAN_POINT('Origin',(23.0004740626184,29.4936164391495,23.4855353075219)); -#2953=CARTESIAN_POINT('',(32.0004740626184,29.4936164391495,14.)); -#2954=CARTESIAN_POINT('',(23.0004740626184,38.4936164391495,14.)); -#2955=CARTESIAN_POINT('Origin',(23.0004740626184,29.4936164391495,14.)); -#2956=CARTESIAN_POINT('',(23.0004740626184,38.4936164391495,15.4855353075219)); -#2957=CARTESIAN_POINT('',(23.0004740626184,38.4936164391495,23.4855353075219)); -#2958=CARTESIAN_POINT('',(32.0004740626184,29.4936164391495,15.4855353075219)); -#2959=CARTESIAN_POINT('Origin',(23.0004740626184,29.4936164391495,15.4855353075219)); -#2960=CARTESIAN_POINT('',(32.0004740626184,29.4936164391495,23.4855353075219)); -#2961=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,14.)); -#2962=CARTESIAN_POINT('Origin',(40.0004740626184,38.4936164391495,14.)); -#2963=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,14.)); -#2964=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,15.4855353075219)); -#2965=CARTESIAN_POINT('',(36.0685134592912,37.3602239966091,27.4855353075219)); -#2966=CARTESIAN_POINT('',(37.1476155597448,37.1898946294035,27.4855353075219)); -#2967=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,37.3602239966091,27.4855353075219)); -#2968=CARTESIAN_POINT('Ctrl Pts',(36.4284682819152,37.2979298288864,27.4855353075219)); -#2969=CARTESIAN_POINT('Ctrl Pts',(36.7888457614156,37.2401980661279,27.4855353075219)); -#2970=CARTESIAN_POINT('Ctrl Pts',(37.1476155597448,37.1898946294035,27.4855353075219)); -#2971=CARTESIAN_POINT('',(38.1501396610526,29.4936164391495,23.2686107024016)); -#2972=CARTESIAN_POINT('Origin',(36.0685134592912,29.4936164391495,32.0245705216413)); -#2973=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,15.4855353075219)); -#2974=CARTESIAN_POINT('',(36.0685134592912,38.4936164391495,32.0245705216413)); -#2975=CARTESIAN_POINT('Origin',(40.0004740626184,38.4936164391495,15.4855353075219)); -#2976=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,38.4936164391495,32.0245705216413)); -#2977=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,38.4936164391495,31.4438641125157)); -#2978=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,38.4368968673121,30.8095845832105)); -#2979=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,38.1943620893845,29.5660148817124)); -#2980=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,38.0086598447716,28.9567026811801)); -#2981=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,37.6696979332708,28.1126276936897)); -#2982=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,37.5251621692212,27.7978192826823)); -#2983=CARTESIAN_POINT('Ctrl Pts',(36.0685134592912,37.3602239966091,27.4855353075219)); -#2984=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,15.4855353075219)); -#2985=CARTESIAN_POINT('',(40.0004740626184,36.9769312126974,27.4855353075219)); -#2986=CARTESIAN_POINT('Ctrl Pts',(37.1476155597448,37.1898946294035,27.4855353075219)); -#2987=CARTESIAN_POINT('Ctrl Pts',(37.44829519443,37.1477360668709,27.4855353075219)); -#2988=CARTESIAN_POINT('Ctrl Pts',(37.7478467780222,37.1108018794529,27.4855353075219)); -#2989=CARTESIAN_POINT('Ctrl Pts',(38.7139740991081,37.0116366106535,27.4855353075219)); -#2990=CARTESIAN_POINT('Ctrl Pts',(39.3709978258213,36.9769312126974,27.4855353075219)); -#2991=CARTESIAN_POINT('Ctrl Pts',(40.0004740626184,36.9769312126974,27.4855353075219)); -#2992=CARTESIAN_POINT('',(40.0004740626184,29.4936164391495,23.4855353075219)); -#2993=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,32.4855353075219)); -#2994=CARTESIAN_POINT('Origin',(40.0004740626184,29.4936164391495,15.4855353075219)); -#2995=CARTESIAN_POINT('Origin',(0.000474062618369686,29.4936164391495,32.4855353075219)); -#2996=CARTESIAN_POINT('',(89.4842871399127,36.9769312126974,27.4855353075219)); -#2997=CARTESIAN_POINT('',(0.000474062618369686,36.9769312126974,27.4855353075219)); -#2998=CARTESIAN_POINT('',(89.4842871399127,29.4936164391495,23.4855353075219)); -#2999=CARTESIAN_POINT('Origin',(89.4842871399127,29.4936164391495,32.4855353075219)); -#3000=CARTESIAN_POINT('',(0.000474062618369686,29.4936164391495,23.4855353075219)); -#3001=CARTESIAN_POINT('Origin',(89.4842871399127,29.4936164391495,15.4855353075219)); -#3002=CARTESIAN_POINT('',(91.3298957345432,37.0693062118435,27.4855353075219)); -#3003=CARTESIAN_POINT('Ctrl Pts',(89.4842871399127,36.9769312126974,27.4855353075219)); -#3004=CARTESIAN_POINT('Ctrl Pts',(90.0792711747911,36.9769312126974,27.4855353075219)); -#3005=CARTESIAN_POINT('Ctrl Pts',(90.6988814845216,37.0077833243417,27.4855353075219)); -#3006=CARTESIAN_POINT('Ctrl Pts',(91.3298957345432,37.0693062118435,27.4855353075219)); -#3007=CARTESIAN_POINT('',(90.7003936431496,29.4936164391495,23.39256287626)); -#3008=CARTESIAN_POINT('Origin',(92.0685134592912,29.4936164391495,32.2879688910904)); -#3009=CARTESIAN_POINT('Origin',(89.4842871399127,29.4936164391495,15.4855353075219)); -#3010=CARTESIAN_POINT('Origin',(89.4842871399127,29.4936164391495,15.4855353075219)); -#3011=CARTESIAN_POINT('',(92.0685134592912,37.153601821442,27.4855353075219)); -#3012=CARTESIAN_POINT('',(92.0685134592912,38.4936164391495,32.2879688910904)); -#3013=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,37.153601821442,27.4855353075219)); -#3014=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,37.4102684843068,27.911182067203)); -#3015=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,37.6285434983154,28.3525796575673)); -#3016=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,38.015787803759,29.2995681618215)); -#3017=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,38.199078607971,29.8926168887716)); -#3018=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,38.4380156576595,31.1038190814324)); -#3019=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,38.4936164391495,31.7219815155002)); -#3020=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,38.4936164391495,32.2879688910904)); -#3021=CARTESIAN_POINT('',(106.484287139913,38.4936164391495,15.4855353075219)); -#3022=CARTESIAN_POINT('Origin',(89.4842871399127,38.4936164391495,15.4855353075219)); -#3023=CARTESIAN_POINT('',(97.4842871399127,29.4936164391495,15.4855353075219)); -#3024=CARTESIAN_POINT('Origin',(106.484287139913,29.4936164391495,15.4855353075219)); -#3025=CARTESIAN_POINT('Origin',(89.4842871399127,29.4936164391495,15.4855353075219)); -#3026=CARTESIAN_POINT('Ctrl Pts',(91.3298957345432,37.0693062118435,27.4855353075219)); -#3027=CARTESIAN_POINT('Ctrl Pts',(91.3664766672228,37.0728727946994,27.4855353075219)); -#3028=CARTESIAN_POINT('Ctrl Pts',(91.4030963492147,37.0765381147873,27.4855353075219)); -#3029=CARTESIAN_POINT('Ctrl Pts',(91.6483079209651,37.1017071281199,27.4855353075219)); -#3030=CARTESIAN_POINT('Ctrl Pts',(91.8580412644922,37.1262702777416,27.4855353075219)); -#3031=CARTESIAN_POINT('Ctrl Pts',(92.0685134592912,37.153601821442,27.4855353075219)); -#3032=CARTESIAN_POINT('Origin',(106.484287139913,29.4936164391495,23.4855353075219)); -#3033=CARTESIAN_POINT('',(97.4842871399127,29.4936164391495,23.4855353075219)); -#3034=CARTESIAN_POINT('',(106.484287139913,38.4936164391495,23.4855353075219)); -#3035=CARTESIAN_POINT('Origin',(32.0004740626184,38.4936164391495,23.4855353075219)); -#3036=CARTESIAN_POINT('',(32.0004740626184,23.4936164391495,15.4855353075219)); -#3037=CARTESIAN_POINT('',(32.0004740626184,26.9936164391495,15.4855353075219)); -#3038=CARTESIAN_POINT('',(32.0004740626184,23.4936164391495,14.)); -#3039=CARTESIAN_POINT('',(32.0004740626184,23.4936164391495,24.9855353075219)); -#3040=CARTESIAN_POINT('',(32.0004740626184,44.2436164391495,14.)); -#3041=CARTESIAN_POINT('Origin',(40.0004740626184,26.9936164391495,15.4855353075219)); -#3042=CARTESIAN_POINT('',(40.0004740626184,23.4936164391495,23.4855353075219)); -#3043=CARTESIAN_POINT('',(40.0004740626184,26.9936164391495,23.4855353075219)); -#3044=CARTESIAN_POINT('Origin',(40.0004740626184,23.4936164391495,15.4855353075219)); -#3045=CARTESIAN_POINT('Origin',(0.000474062618369686,15.4936164391495,23.4855353075219)); -#3046=CARTESIAN_POINT('',(89.4842871399127,23.4936164391495,23.4855353075219)); -#3047=CARTESIAN_POINT('',(89.4842871399127,15.4936164391495,23.4855353075219)); -#3048=CARTESIAN_POINT('',(91.6278806793617,15.4936164391495,23.4855353075219)); -#3049=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,23.4855353075219)); -#3050=CARTESIAN_POINT('',(37.8568805231694,15.4936164391495,23.4855353075219)); -#3051=CARTESIAN_POINT('',(0.000474062618369686,15.4936164391495,23.4855353075219)); -#3052=CARTESIAN_POINT('Origin',(24.0004740626184,23.4936164391495,23.4855353075219)); -#3053=CARTESIAN_POINT('Origin',(89.4842871399127,15.4936164391495,15.4855353075219)); -#3054=CARTESIAN_POINT('',(97.4842871399127,23.4936164391495,15.4855353075219)); -#3055=CARTESIAN_POINT('',(97.4842871399127,15.4936164391495,15.4855353075219)); -#3056=CARTESIAN_POINT('Origin',(89.4842871399127,23.4936164391495,15.4855353075219)); -#3057=CARTESIAN_POINT('Origin',(97.4842871399127,15.4936164391495,26.4855353075219)); -#3058=CARTESIAN_POINT('',(97.4842871399127,23.4936164391495,8.73987849054458)); -#3059=CARTESIAN_POINT('',(97.4842871399127,24.9936164391495,8.73987849054458)); -#3060=CARTESIAN_POINT('',(97.4842871399127,23.4936164391495,9.51440278092636)); -#3061=CARTESIAN_POINT('',(97.4842871399127,23.4936164391495,26.4855353075219)); -#3062=CARTESIAN_POINT('',(97.4842871399127,23.4936164391495,26.4855353075219)); -#3063=CARTESIAN_POINT('Origin',(89.4842871399127,24.9936164391495,8.73987849054458)); -#3064=CARTESIAN_POINT('Origin',(89.4842871399127,23.4936164391495,8.73987849054458)); -#3065=CARTESIAN_POINT('Origin',(0.000474062618369686,38.4936164391495,23.4855353075219)); -#3066=CARTESIAN_POINT('',(92.0685134592912,38.4936164391495,42.4855353075219)); -#3067=CARTESIAN_POINT('',(92.0685134592912,38.4936164391495,32.9855353075219)); -#3068=CARTESIAN_POINT('',(109.639698722383,38.4936164391495,42.4855353075219)); -#3069=CARTESIAN_POINT('',(0.000474062618369686,38.4936164391495,42.4855353075219)); -#3070=CARTESIAN_POINT('',(109.639698722383,38.4936164391495,3.)); -#3071=CARTESIAN_POINT('Origin',(24.0004740626184,23.4936164391495,15.4855353075219)); -#3072=CARTESIAN_POINT('',(24.0004740626184,15.4936164391495,15.4855353075219)); -#3073=CARTESIAN_POINT('Ctrl Pts',(37.8568805231694,15.4936164391495,23.4855353075219)); -#3074=CARTESIAN_POINT('Ctrl Pts',(36.8373708356671,15.4936164391495,23.4855353075219)); -#3075=CARTESIAN_POINT('Ctrl Pts',(35.7360822700567,15.4936164391495,23.3299006824708)); -#3076=CARTESIAN_POINT('Ctrl Pts',(33.6080057992234,15.4936164391495,22.7288862606676)); -#3077=CARTESIAN_POINT('Ctrl Pts',(32.5805753209608,15.4936164391495,22.2857840209235)); -#3078=CARTESIAN_POINT('Ctrl Pts',(30.3025465171734,15.4936164391495,21.0597228852694)); -#3079=CARTESIAN_POINT('Ctrl Pts',(28.9193257518252,15.4936164391495,20.0540251613079)); -#3080=CARTESIAN_POINT('Ctrl Pts',(26.3266767504702,15.4936164391495,17.8162632063703)); -#3081=CARTESIAN_POINT('Ctrl Pts',(25.1088669509422,15.4936164391495,16.5939281958457)); -#3082=CARTESIAN_POINT('Ctrl Pts',(24.0004740626184,15.4936164391495,15.4855353075219)); -#3083=CARTESIAN_POINT('Origin',(24.0004740626184,23.4936164391495,15.4855353075219)); -#3084=CARTESIAN_POINT('Origin',(24.0004740626184,23.4936164391495,24.9855353075219)); -#3085=CARTESIAN_POINT('',(24.0004740626184,15.4936164391495,14.)); -#3086=CARTESIAN_POINT('',(24.0004740626184,15.4936164391495,24.9855353075219)); -#3087=CARTESIAN_POINT('Origin',(24.0004740626184,23.4936164391495,14.)); -#3088=CARTESIAN_POINT('Origin',(40.0004740626184,23.4936164391495,14.)); -#3089=CARTESIAN_POINT('Origin',(40.0004740626184,23.4936164391495,14.)); -#3090=CARTESIAN_POINT('Origin',(40.0004740626184,15.4936164391495,14.)); -#3091=CARTESIAN_POINT('Origin',(0.000474062618369686,15.4936164391495,26.4855353075219)); -#3092=CARTESIAN_POINT('Origin',(23.0004740626184,15.4936164391495,26.)); -#3093=CARTESIAN_POINT('',(105.484287139913,15.4936164391495,15.4855353075219)); -#3094=CARTESIAN_POINT('Ctrl Pts',(105.484287139913,15.4936164391495,15.4855353075219)); -#3095=CARTESIAN_POINT('Ctrl Pts',(104.375894251589,15.4936164391495,16.5939281958457)); -#3096=CARTESIAN_POINT('Ctrl Pts',(103.158084452061,15.4936164391495,17.8162632063704)); -#3097=CARTESIAN_POINT('Ctrl Pts',(100.565435450706,15.4936164391495,20.0540251613079)); -#3098=CARTESIAN_POINT('Ctrl Pts',(99.1822146853578,15.4936164391495,21.0597228852694)); -#3099=CARTESIAN_POINT('Ctrl Pts',(96.9041858815703,15.4936164391495,22.2857840209235)); -#3100=CARTESIAN_POINT('Ctrl Pts',(95.8767554033077,15.4936164391495,22.7288862606676)); -#3101=CARTESIAN_POINT('Ctrl Pts',(93.7486789324744,15.4936164391495,23.3299006824708)); -#3102=CARTESIAN_POINT('Ctrl Pts',(92.647390366864,15.4936164391495,23.4855353075219)); -#3103=CARTESIAN_POINT('Ctrl Pts',(91.6278806793617,15.4936164391495,23.4855353075219)); -#3104=CARTESIAN_POINT('',(105.484287139913,15.4936164391495,26.4855353075219)); -#3105=CARTESIAN_POINT('',(115.540970280357,15.4936164391495,26.4855353075219)); -#3106=CARTESIAN_POINT('',(115.540970280357,15.4936164391495,3.)); -#3107=CARTESIAN_POINT('',(112.031298492136,15.4936164391495,26.4855353075219)); -#3108=CARTESIAN_POINT('',(30.0004740626184,15.4936164391495,26.4855353075219)); -#3109=CARTESIAN_POINT('',(112.031298492136,15.4936164391495,42.4855353075219)); -#3110=CARTESIAN_POINT('',(112.031298492136,15.4936164391495,34.4855353075219)); -#3111=CARTESIAN_POINT('',(13.0004740626184,15.4936164391495,42.4855353075219)); -#3112=CARTESIAN_POINT('',(0.000474062618369686,15.4936164391495,42.4855353075219)); -#3113=CARTESIAN_POINT('',(13.0004740626184,15.4936164391495,34.4855353075219)); -#3114=CARTESIAN_POINT('Origin',(40.0004740626184,44.2436164391495,14.)); -#3115=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,15.4855353075219)); -#3116=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,15.4855353075219)); -#3117=CARTESIAN_POINT('Origin',(0.000474062618369686,38.4936164391495,23.4855353075219)); -#3118=CARTESIAN_POINT('',(36.0685134592912,38.4936164391495,42.4855353075219)); -#3119=CARTESIAN_POINT('',(0.000474062618369686,38.4936164391495,42.4855353075219)); -#3120=CARTESIAN_POINT('',(36.0685134592912,38.4936164391495,32.9855353075219)); -#3121=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,26.4855353075219)); -#3122=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,9.51440278092636)); -#3123=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,26.4855353075219)); -#3124=CARTESIAN_POINT('Origin',(105.484287139913,23.4936164391495,8.73987849054458)); -#3125=CARTESIAN_POINT('Origin',(89.4842871399127,23.4936164391495,8.73987849054458)); -#3126=CARTESIAN_POINT('Origin',(0.000474062618369686,38.4936164391495,42.4855353075219)); -#3127=CARTESIAN_POINT('',(36.0685134592912,35.4936164391495,42.4855353075219)); -#3128=CARTESIAN_POINT('',(36.0685134592912,36.9936164391495,42.4855353075219)); -#3129=CARTESIAN_POINT('',(0.000474062618369686,35.4936164391495,42.4855353075219)); -#3130=CARTESIAN_POINT('Origin',(0.000474062618369686,15.4936164391495,26.4855353075219)); -#3131=CARTESIAN_POINT('',(112.612969058614,35.4936164391495,26.4855353075219)); -#3132=CARTESIAN_POINT('',(0.000474062618369686,35.4936164391495,26.4855353075219)); -#3133=CARTESIAN_POINT('',(112.031298492136,18.4936164391495,26.4855353075219)); -#3134=CARTESIAN_POINT('',(0.000474062618369686,18.4936164391495,26.4855353075219)); -#3135=CARTESIAN_POINT('',(112.031298492136,15.4936164391495,26.4855353075219)); -#3136=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,26.4855353075219)); -#3137=CARTESIAN_POINT('Origin',(60.0004740626184,49.9936164391495,43.)); -#3138=CARTESIAN_POINT('',(0.000474062618369686,24.5950814334347,43.)); -#3139=CARTESIAN_POINT('',(31.5004740626184,24.5950814334348,43.)); -#3140=CARTESIAN_POINT('',(0.000474062618369686,-0.00638356085051583,43.)); -#3141=CARTESIAN_POINT('',(0.000474062618369686,-0.00638356085050744,43.)); -#3142=CARTESIAN_POINT('',(120.000474062618,-0.00638356085051583,43.)); -#3143=CARTESIAN_POINT('',(120.000474062618,-0.00638356085050744,43.)); -#3144=CARTESIAN_POINT('',(120.000474062618,99.9936164391495,43.)); -#3145=CARTESIAN_POINT('',(120.000474062618,99.9936164391495,43.)); -#3146=CARTESIAN_POINT('',(84.0611006873783,99.9936164391495,43.)); -#3147=CARTESIAN_POINT('',(85.0611006873783,99.9936164391495,43.)); -#3148=CARTESIAN_POINT('',(84.0611006873783,96.9936164391495,43.)); -#3149=CARTESIAN_POINT('',(84.0611006873783,74.9936164391495,43.)); -#3150=CARTESIAN_POINT('',(97.0004740626183,96.9936164391495,43.)); -#3151=CARTESIAN_POINT('',(90.0004740626184,96.9936164391495,43.)); -#3152=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,43.)); -#3153=CARTESIAN_POINT('',(97.0004740626183,2.99361643914949,43.)); -#3154=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,43.)); -#3155=CARTESIAN_POINT('',(30.0004740626184,2.99361643914949,43.)); -#3156=CARTESIAN_POINT('Origin',(60.0004740626184,49.9936164391495,43.)); -#3157=CARTESIAN_POINT('',(23.0004740626184,96.9936164391495,43.)); -#3158=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,43.)); -#3159=CARTESIAN_POINT('',(62.1279422635694,96.9936164391495,43.)); -#3160=CARTESIAN_POINT('',(90.0004740626184,96.9936164391495,43.)); -#3161=CARTESIAN_POINT('',(62.1279422635694,99.9936164391495,43.)); -#3162=CARTESIAN_POINT('',(62.1279422635694,73.4936164391495,43.)); -#3163=CARTESIAN_POINT('',(0.000474062618369686,99.9936164391495,43.)); -#3164=CARTESIAN_POINT('',(0.000474062618369686,99.9936164391495,43.)); -#3165=CARTESIAN_POINT('',(0.000474062618369686,30.5950814334347,43.)); -#3166=CARTESIAN_POINT('',(0.000474062618369686,-0.00638356085050744,43.)); -#3167=CARTESIAN_POINT('',(30.0004740626184,30.5950814334347,43.)); -#3168=CARTESIAN_POINT('Origin',(3.00047406261837,24.5950814334347,43.)); -#3169=CARTESIAN_POINT('',(0.000474062618369686,24.5950814334347,31.)); -#3170=CARTESIAN_POINT('',(0.000474062618369686,24.5950814334347,31.)); -#3171=CARTESIAN_POINT('',(0.000474062618369686,24.5950814334348,23.)); -#3172=CARTESIAN_POINT('Origin',(1.50047406261837,27.5950814334347,31.)); -#3173=CARTESIAN_POINT('',(0.000474062618369686,30.5950814334347,31.)); -#3174=CARTESIAN_POINT('',(3.00047406261837,30.5950814334347,31.)); -#3175=CARTESIAN_POINT('',(0.000474062618369686,63.7943489362921,31.)); -#3176=CARTESIAN_POINT('Origin',(0.000474062618369686,30.5950814334347,43.)); -#3177=CARTESIAN_POINT('',(0.000474062618369686,30.5950814334347,23.)); -#3178=CARTESIAN_POINT('Origin',(0.000474062618369686,38.4936164391495,42.4855353075219)); -#3179=CARTESIAN_POINT('',(92.0685134592912,35.4936164391495,42.4855353075219)); -#3180=CARTESIAN_POINT('',(112.612969058614,35.4936164391495,42.4855353075219)); -#3181=CARTESIAN_POINT('',(0.000474062618369686,35.4936164391495,42.4855353075219)); -#3182=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,42.4855353075219)); -#3183=CARTESIAN_POINT('',(92.0685134592912,38.4936164391495,42.4855353075219)); -#3184=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,3.)); -#3185=CARTESIAN_POINT('',(97.0004740626183,96.9936164391495,26.)); -#3186=CARTESIAN_POINT('',(97.0004740626183,96.9936164391495,3.)); -#3187=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,26.)); -#3188=CARTESIAN_POINT('Origin',(0.000474062618369686,35.4936164391495,23.4855353075219)); -#3189=CARTESIAN_POINT('',(112.612969058614,35.4936164391495,3.)); -#3190=CARTESIAN_POINT('',(92.0685134592912,35.4936164391495,27.4855353075219)); -#3191=CARTESIAN_POINT('',(92.0685134592912,35.4936164391495,32.9855353075219)); -#3192=CARTESIAN_POINT('',(36.0685134592912,35.4936164391495,27.4855353075219)); -#3193=CARTESIAN_POINT('',(21.0344937609548,35.4936164391495,27.4855353075219)); -#3194=CARTESIAN_POINT('',(36.0685134592912,35.4936164391495,32.9855353075219)); -#3195=CARTESIAN_POINT('Origin',(97.0004740626183,22.9936164391495,3.)); -#3196=CARTESIAN_POINT('',(97.0004740626183,2.99361643914949,3.)); -#3197=CARTESIAN_POINT('Origin',(23.0004740626184,22.9936164391495,26.)); -#3198=CARTESIAN_POINT('Origin',(0.000474062618369686,18.4936164391495,26.4855353075219)); -#3199=CARTESIAN_POINT('',(13.0004740626184,18.4936164391495,42.4855353075219)); -#3200=CARTESIAN_POINT('',(13.0004740626184,18.4936164391495,34.4855353075219)); -#3201=CARTESIAN_POINT('',(112.031298492136,18.4936164391495,42.4855353075219)); -#3202=CARTESIAN_POINT('',(0.000474062618369686,18.4936164391495,42.4855353075219)); -#3203=CARTESIAN_POINT('',(112.031298492136,18.4936164391495,34.4855353075219)); -#3204=CARTESIAN_POINT('Origin',(36.0685134592912,35.4936164391495,42.4855353075219)); -#3205=CARTESIAN_POINT('',(36.0685134592912,35.4936164391495,27.4855353075219)); -#3206=CARTESIAN_POINT('Origin',(92.0685134592912,38.4936164391495,42.4855353075219)); -#3207=CARTESIAN_POINT('',(92.0685134592912,32.7436164391495,27.4855353075219)); -#3208=CARTESIAN_POINT('Origin',(0.000474062618369686,38.4936164391495,42.4855353075219)); -#3209=CARTESIAN_POINT('',(112.031298492136,26.9936164391495,42.4855353075219)); -#3210=CARTESIAN_POINT('',(13.0004740626184,28.4936164391495,42.4855353075219)); -#3211=CARTESIAN_POINT('Origin',(13.0004740626184,18.4936164391495,42.4855353075219)); -#3212=CARTESIAN_POINT('Origin',(60.0004740626184,26.9936164391495,27.4855353075219)); -#3213=CARTESIAN_POINT('Origin',(112.031298492136,15.4936164391495,42.4855353075219)); -#3214=CARTESIAN_POINT('Origin',(62.1279422635694,96.9936164391495,43.)); -#3215=CARTESIAN_POINT('',(62.1279422635694,96.9936164391495,23.)); -#3216=CARTESIAN_POINT('',(62.1279422635694,99.9936164391495,23.)); -#3217=CARTESIAN_POINT('Origin',(67.1279422635694,99.9936164391495,43.)); -#3218=CARTESIAN_POINT('',(67.1279422635694,99.9936164391495,43.)); -#3219=CARTESIAN_POINT('',(67.1279422635694,96.9936164391495,43.)); -#3220=CARTESIAN_POINT('',(67.1279422635694,74.9936164391495,43.)); -#3221=CARTESIAN_POINT('',(67.1279422635694,99.9936164391495,23.)); -#3222=CARTESIAN_POINT('',(67.1279422635694,96.9936164391495,23.)); -#3223=CARTESIAN_POINT('Origin',(120.000474062618,96.9936164391495,3.)); -#3224=CARTESIAN_POINT('',(79.0611006873783,96.9936164391495,43.)); -#3225=CARTESIAN_POINT('',(79.0611006873783,96.9936164391495,23.)); -#3226=CARTESIAN_POINT('',(90.0004740626184,96.9936164391495,43.)); -#3227=CARTESIAN_POINT('',(23.0004740626184,96.9936164391495,26.)); -#3228=CARTESIAN_POINT('',(23.0004740626184,96.9936164391495,3.)); -#3229=CARTESIAN_POINT('',(90.0004740626184,96.9936164391495,26.)); -#3230=CARTESIAN_POINT('',(84.0611006873783,96.9936164391495,23.)); -#3231=CARTESIAN_POINT('Origin',(84.0611006873783,99.9936164391495,43.)); -#3232=CARTESIAN_POINT('',(84.0611006873783,99.9936164391495,23.)); -#3233=CARTESIAN_POINT('Origin',(0.000474062618369686,99.9936164391495,3.)); -#3234=CARTESIAN_POINT('',(0.000474062618369686,99.9936164391495,3.)); -#3235=CARTESIAN_POINT('',(0.000474062618369686,99.9936164391495,3.)); -#3236=CARTESIAN_POINT('',(0.000474062618369686,-0.00638356085050744,3.)); -#3237=CARTESIAN_POINT('',(0.000474062618369686,-0.00638356085050744,3.)); -#3238=CARTESIAN_POINT('',(0.000474062618369686,-0.00638356085050744,3.)); -#3239=CARTESIAN_POINT('Origin',(79.0611006873783,96.9936164391495,43.)); -#3240=CARTESIAN_POINT('',(79.0611006873783,99.9936164391495,43.)); -#3241=CARTESIAN_POINT('',(79.0611006873783,73.4936164391495,43.)); -#3242=CARTESIAN_POINT('',(79.0611006873783,99.9936164391495,23.)); -#3243=CARTESIAN_POINT('Origin',(60.0004740626184,49.9936164391495,43.)); -#3244=CARTESIAN_POINT('',(0.000474062618369686,99.9936164391495,43.)); -#3245=CARTESIAN_POINT('Origin',(0.000474062618369686,2.99361643914949,3.)); -#3246=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,3.)); -#3247=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,26.)); -#3248=CARTESIAN_POINT('Origin',(90.0004740626184,76.9936164391495,26.)); -#3249=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,26.)); -#3250=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,26.)); -#3251=CARTESIAN_POINT('Origin',(97.0004740626183,76.9936164391495,26.)); -#3252=CARTESIAN_POINT('Origin',(23.0004740626184,76.9936164391495,26.)); -#3253=CARTESIAN_POINT('Origin',(0.000474062618369686,-0.00638356085050744, -3.)); -#3254=CARTESIAN_POINT('',(120.000474062618,-0.00638356085051583,3.)); -#3255=CARTESIAN_POINT('',(120.000474062618,-0.00638356085050744,3.)); -#3256=CARTESIAN_POINT('',(120.000474062618,-0.00638356085051583,3.)); -#3257=CARTESIAN_POINT('Origin',(120.000474062618,-0.00638356085051583,3.)); -#3258=CARTESIAN_POINT('',(120.000474062618,99.9936164391495,3.)); -#3259=CARTESIAN_POINT('',(120.000474062618,99.9936164391495,3.)); -#3260=CARTESIAN_POINT('',(120.000474062618,99.9936164391495,3.)); -#3261=CARTESIAN_POINT('Origin',(120.000474062618,99.9936164391495,3.)); -#3262=CARTESIAN_POINT('',(0.000474062618369686,99.9936164391495,3.)); -#3263=CARTESIAN_POINT('Origin',(60.0004740626184,49.9936164391495,3.)); -#3264=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3268, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#3265=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3268, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#3266=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3264)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#3268,#3269,#3270)) -REPRESENTATION_CONTEXT('','3D') -); -#3267=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3265)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#3268,#3269,#3270)) -REPRESENTATION_CONTEXT('','3D') -); -#3268=( -LENGTH_UNIT() -NAMED_UNIT(*) -SI_UNIT(.MILLI.,.METRE.) -); -#3269=( -NAMED_UNIT(*) -PLANE_ANGLE_UNIT() -SI_UNIT($,.RADIAN.) -); -#3270=( -NAMED_UNIT(*) -SI_UNIT($,.STERADIAN.) -SOLID_ANGLE_UNIT() -); -#3271=SHAPE_DEFINITION_REPRESENTATION(#3272,#3273); -#3272=PRODUCT_DEFINITION_SHAPE('',$,#3275); -#3273=SHAPE_REPRESENTATION('',(#1743),#3266); -#3274=PRODUCT_DEFINITION_CONTEXT('part definition',#3279,'design'); -#3275=PRODUCT_DEFINITION('eggnoggpi','eggnoggpi v11',#3276,#3274); -#3276=PRODUCT_DEFINITION_FORMATION('',$,#3281); -#3277=PRODUCT_RELATED_PRODUCT_CATEGORY('eggnoggpi v11','eggnoggpi v11', -(#3281)); -#3278=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2009,#3279); -#3279=APPLICATION_CONTEXT( -'Core Data for Automotive Mechanical Design Process'); -#3280=PRODUCT_CONTEXT('part definition',#3279,'mechanical'); -#3281=PRODUCT('eggnoggpi','eggnoggpi v11',$,(#3280)); -#3282=PRESENTATION_STYLE_ASSIGNMENT((#3283)); -#3283=SURFACE_STYLE_USAGE(.BOTH.,#3284); -#3284=SURFACE_SIDE_STYLE('',(#3285)); -#3285=SURFACE_STYLE_FILL_AREA(#3286); -#3286=FILL_AREA_STYLE('Acier - Satin\X\E9',(#3287)); -#3287=FILL_AREA_STYLE_COLOUR('Acier - Satin\X\E9',#3288); -#3288=COLOUR_RGB('Acier - Satin\X\E9',0.627450980392157,0.627450980392157, -0.627450980392157); -ENDSEC; -END-ISO-10303-21;